2024/07/02 更新

写真a

シムラ タカヨシ
志村 考功
所属
理工学術院 大学院情報生産システム研究科
職名
教授(任期付)
学位
博士(工学) ( 名古屋大学 )
メールアドレス
メールアドレス

経歴

  • 2024年04月
    -
    継続中

    大阪大学   工学研究科   招へい教授

  • 2024年04月
    -
    継続中

    早稲田大学   大学院情報生産システム研究科   教授

  • 2020年04月
    -
    2024年03月

    大阪大学 工学研究科 物理学系専攻   准教授

  • 2007年01月
    -
    2024年03月

    大阪大学・准教授

  • 2007年04月
    -
    2020年03月

    大阪大学 工学研究科 生命先端工学専攻   准教授

  • 2007年04月
    -
    2011年03月

    大阪大学 先端科学イノベーションセンター   兼任准教授

  • 2007年02月
    -
    2007年03月

    大阪大学 工学研究科 生命先端工学専攻   助教授

  • 2005年08月
    -
    2007年01月

    大阪大学 工学研究科 精密科学・応用物理学専攻   助手

  • 2007年
    -
     

    - 大阪大学・准教授

  • 2007年
    -
     

    - Osaka University, Associate Professor

  • 1993年04月
    -
    2007年01月

    大阪大学助手

  • 2005年04月
    -
    2005年07月

    大阪大学 工学研究科 生命先端工学専攻   助手

  • 1998年08月
    -
    2005年03月

    大阪大学 工学研究科   助手

▼全件表示

所属学協会

  •  
     
     

    日本放射光学会

  •  
     
     

    応用物理学会

  •  
     
     

    日本結晶学会

  •  
     
     

    日本物理学会

  •  
     
     

    The Japanese Society for Synchrotron Radiation Research

  •  
     
     

    The Japan Society of Applied Physics

  •  
     
     

    The Crystallographic Society of Japan

  •  
     
     

    The Physical Society of Japan

  •  
     
     

    放射光学会

  •  
     
     

    結晶学会

  •  
     
     

    物理学会

▼全件表示

研究分野

  • 光工学、光量子科学 / 応用物理一般 / 量子ビーム科学 / 電気電子材料工学 / 結晶工学 / 応用物性

研究キーワード

  • ゲート絶縁膜

  • X線位相イメージング

  • ゲルマニウム

  • シリコンフォトニクス

  • X線イメージング

  • 電子デバイス材料

  • X線結晶学

  • X-ray Crystallography

▼全件表示

受賞

  • 第8回(2016年秋季)応用物理学会 Poster Award

    2016年09月   公益社団法人 応用物理学会  

    受賞者: 冨田 崇史, 岡 博史, 小山 真広, 田中 章吾, 細井 卓治, 志村 考功, 渡部 平司

  • 第7回(2016年春季)応用物理学会 Poster Award

    2016年03月   応用物理学会  

    受賞者: 小川慎吾, 淺原亮平, 箕浦佑也, 迫秀樹, 川崎直彦, 山田一子, 宮本隆志, 細井卓治, 志村考功, 渡部平司

  • 第3回(2014年春季)応用物理学会 Poster Award

    2014年03月   応用物理学会  

    受賞者: 小川慎吾, 川崎直彦, 木村耕輔, 田中亮平, 箕浦佑也, 細井卓治, 志村考功, 渡部平司

  • 2008 IWDTF Best Poster Award

    2008年11月   The Japan Society of Applied Physics, Japan  

    受賞者: T. Shimura, Y. Okamoto, T. Inoue, T. Hosoi, H. Watanabe

メディア報道

  • GaN製パワー半導体 パナソニックが基地局向け

    日本経済新聞(電子版)  

    2018年02月

  • 5G基地局向け半導体 小型で大電流耐える

    日経産業新聞  

    2018年02月

  • 大電力電源機器を高速・小型化 絶縁ゲート型GaNパワートランジスタ

    日刊工業新聞  

    2018年02月

  • 連続安定駆動が可能 MIS型GaNパワーTR

    電波新聞  

    2018年02月

  • 大阪大学ら SiC絶縁耐圧1.5倍 AlON膜で信頼性向上

    半導体産業新聞  

    2012年12月

  • 漏れ電流1ケタ低減 AlON採用のSiCトランジスタ 阪大など開発 長期信頼性も向上

    化学工業日報  

    2012年12月

  • 阪大/京大/ローム/東京エレ ゲート絶縁膜にAlON採用 SiCパワーMOSFET開発 13年度にも実用化めざす

    電波新聞  

    2012年12月

  • 電力損失を大幅低減 パワー半導体 アルミ酸化物使う 阪大など

    日経産業新聞  

    2012年12月

  • SiC MOSFET 高誘電率ゲート絶縁膜採用 阪大など 漏れ電流9割低減

    日刊工業新聞  

    2012年12月

▼全件表示

 

論文

  • Characterization of nitrided SiC(1-100) MOS structures by means of electrical measurements and X-ray photoelectron spectroscopy

    Takuma Kobayashi, Asato Suzuki, Takato Nakanuma, Mitsuru Sometani, Mitsuo Okamoto, Akitaka Yoshigoe, Takayoshi Shimura, Heiji Watanabe

    Materials Science in Semiconductor Processing   175   108251 - 108251  2024年06月  [査読有り]

    DOI

    Scopus

  • Oxygen-related defects in 4H-SiC from first principles

    Sosuke Iwamoto, Takayoshi Shimura, Heiji WATANABE, Takuma Kobayashi

    Applied Physics Express    2024年04月  [査読有り]

     概要を見る

    Abstract

    We investigated the abundance, structures, energy levels, and spin states of oxygen-related defects in 4H-SiC on the basis of first principles calculations. We applied a hybrid functional in the overall calculations, which gives reliable defect properties, and also considered relevant defect charge states. We identified the oxygen interstitial (Oi,1), substitutional oxygen (OC), and oxygen-vacancy (OCVSi) complex as prominent defects in n-type conditions. Among them, OCVSi was predicted as a spin-1 defect with near-infrared emission in a previous study. On the basis of the obtained results, we discuss the possible spin decoherence sources when employing OCVSi as a spin-to-photon interface.

    DOI

    Scopus

  • Generation of single photon emitters at a SiO2/SiC interface by high-temperature oxidation and reoxidation at lower temperatures

    Kentaro Onishi, Takato Nakanuma, Kosuke Tahara, Katsuhiro KUTSUKI, Takayoshi Shimura, Heiji WATANABE, Takuma Kobayashi

    Applied Physics Express    2024年04月  [査読有り]

     概要を見る

    Abstract

    We report on an approach to produce single photon emitters at the SiO2/SiC interface. We form a high-quality SiO2/SiC interface by high-temperature oxidation and subsequently perform oxidation at lower temperatures (200–1000°C) to generate the emitters. After reoxidation at 800°C, we confirmed the formation of emitters with a bright luminescence (> 50 kcps). Through Hambury-Brown and Twiss measurements, single photon characteristics were confirmed. Thus, the proposed approach is effective in generating highly bright single photon emitters at the SiO2/SiC interface.

    DOI

    Scopus

  • Separate evaluation of interface and oxide hole traps in SiO2/GaN MOS structures with below- and above-gap light excitation

    Takuma Kobayashi, Kazuki Tomigahara, Mikito Nozaki, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   17 ( 1 ) 011003 - 011003  2023年12月  [査読有り]

     概要を見る

    Abstract

    Understanding the traps in metal-oxide-semiconductor (MOS) structures is crucial in the fabrication of MOS transistors with high performance and reliability. In this study, we evaluated the hole traps in SiO2/GaN MOS structures through photo-assisted capacitance-voltage measurements. Below- and above-gap light was used to distinguish between the contributions of fast interface and slow oxide hole traps. While annealing in oxygen is effective in reducing the oxide hole traps, a high density of hole traps exceeding 1012 cm−2eV−1 remains at the interface. Although these traps are donor-type and thus hidden in n-type MOS structures, they could impair the switching performance of GaN MOS transistors.

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Design of SiO2/4H–SiC MOS interfaces by sputter deposition of SiO2 followed by high-temperature CO2-post deposition annealing

    Tae-Hyeon Kil, Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe

    AIP Advances   13 ( 11 ) 115304-1 - 115304-5  2023年11月  [査読有り]

     概要を見る

    Oxidation of silicon carbide (SiC) is known to induce defects at the interface of the SiO2/SiC system. NO-annealing is a standard industrial method of nitridation, but oxidation may progress during NO-nitridation, which may generate interface defects. Here, we propose a new method of fabricating SiO2/SiC metal-oxide-semiconductor (MOS) devices: sputter deposition of SiO2 in an Ar/N2 gas mixture followed by high-temperature CO2-post deposition annealing to form SiO2 and incorporate nitrogen at the interface while suppressing oxidation of the SiC. We obtained the nitrogen depth profile by performing x-ray photoelectron spectroscopy and confirmed that most of the nitrogen atoms exist at the abrupt interface. While maintaining a low interface state density and good insulating property, we demonstrated much improved reliability of MOS devices compared to conventional NO-annealed samples, thanks to the well-designed SiO2/SiC interface by the proposed method.

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Oxygen-vacancy defect in 4H-SiC as a near-infrared emitter: An ab initio study

    Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe

    Journal of Applied Physics   134 ( 14 ) 145701-1 - 145701-9  2023年10月  [査読有り]

     概要を見る

    Optically active spin defects in semiconductors can serve as spin-to-photon interfaces, key components in quantum technologies. Silicon carbide (SiC) is a promising host of spin defects thanks to its wide bandgap and well-established crystal growth and device technologies. In this study, we investigated the oxygen-vacancy complexes as potential spin defects in SiC by means of ab initio calculations. We found that the OCVSi defect has a substantially low formation energy compared with its counterpart, OSiVC, regardless of the Fermi level position. The OCVSi defect is stable in its neutral charge state with a high-spin ground state (S = 1) within a wide energy range near the midgap energy. The zero-phonon line (ZPL) of the OCVSi0 defect lies in the near-infrared regime, 1.11–1.24 eV (1004–1117 nm). The radiative lifetime for the ZPL transition of the defect in kk configuration is fairly short (12.5 ns). Furthermore, the estimated Debye–Waller factor for the optical transition is 13.4%, indicating a large weight of ZPL in the photoluminescence spectrum. All together, we conclude that the OCVSi0 defect possesses desirable spin and optical properties and thus is potentially attractive as a quantum bit.

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • Passivation of hole traps in SiO2/GaN metal-oxide-semiconductor devices by high-density magnesium doping

    Hidetoshi Mizobata, Mikito Nozaki, Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   16 ( 10 ) 105501-1 - 105501-4  2023年10月  [査読有り]

     概要を見る

    Abstract

    A major challenge in GaN-based metal-oxide-semiconductor (MOS) devices is significant hole trapping near the oxide/GaN interface. In this study, we show that the density and energy level of the hole traps depends crucially on the concentration of magnesium (Mg) dopants in GaN layers. Although the surface potential of a conventional SiO2/p-GaN MOS device is severely pinned by hole trapping, hole accumulation and very low interface state densities below 1011 cm−2 eV−1 are demonstrated for MOS capacitors on heavily Mg-doped GaN epilayers regardless of the degree of dopant activation. These findings indicate the decisive role of Mg atoms in defect passivation.

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • Interface and oxide trap states of SiO2/GaN metal–oxide–semiconductor capacitors and their effects on electrical properties evaluated by deep level transient spectroscopy

    Shingo Ogawa, Hidetoshi Mizobata, Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe

    Journal of Applied Physics   134 ( 9 ) 095704-1 - 095704-7  2023年09月  [査読有り]

     概要を見る

    The relationship between the electrical properties and the carrier trap properties of the SiO2/GaN metal–oxide–semiconductor (MOS) capacitors was investigated using electrical measurements and deep level transient spectroscopy (DLTS). The capacitance–voltage (C–V) measurement showed that the frequency dispersion of the C–V curves became smaller after an 800 °C annealing in O2 ambient. DLTS revealed that before the annealing, the interface trap states, in a broad energy range above the midgap of GaN, were detected with the higher interface state density at around 0.3 and 0.9 eV below the conduction band minimum (EC) of GaN. Moreover, the oxide trap states were formed at around 0.1 eV below the EC of GaN, plausibly indicating a slow electron trap with a tunneling process. Although both trap states affect the electrical reliability and insulating property of the SiO2/GaN MOS capacitors, they were found to drastically decrease after the annealing, leading to the improvement of the electrical properties.

    DOI

    Scopus

  • Control on the density and optical properties of color centers at SiO2/SiC interfaces by oxidation and annealing

    Takato Nakanuma, Kosuke Tahara, Katsuhiro Kutsuki, Takayoshi Shimura, Heiji Watanabe, Takuma Kobayashi

    Applied Physics Letters   123 ( 10 ) 102102-1 - 102102-5  2023年09月  [査読有り]

     概要を見る

    Color centers in solids can serve as single photon emitters (SPEs) those are important in many quantum applications. Silicon carbide (SiC) is a promising host for color centers because of its well-established crystal growth and device technologies. Although color centers with extremely high brightness were found at the silicon dioxide (SiO2)/SiC interface, controlling their density and optical properties remains a challenge. In this study, we demonstrate control over the color centers at the SiO2/SiC interface by designing the oxidation and annealing conditions. We report that post-oxidation CO2 annealing has the ability to reduce the color centers at the interface and form well-isolated SPEs with bright emission. We also discuss the correlation between the color centers and electrically active defects.

    DOI

    Scopus

    3
    被引用数
    (Scopus)
  • Impact of Sn incorporation on sputter epitaxy of GeSn

    Nobuyuki Tanaka, Mizuki Kuniyoshi, Kazuya Abe, Masaki Hoshihara, Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   16 ( 9 ) 095502 - 095502  2023年09月  [査読有り]

     概要を見る

    Abstract

    Epitaxial growth of high-quality low tin content germanium (GeSn) alloy is demonstrated by sputter deposition. Adding several percent of Sn during simultaneous sputter deposition significantly improved the crystallographic structure of the GeSn alloy, leading to intense photoluminescence even at room temperature. Dislocation-free single-crystal GeSn films were formed on a Ge(100) substrate under tuned growth conditions, that is, an Sn/Ge flux ratio of 6.2% and deposition temperature of 500 °C, in which compositional gradation of the Sn content in the film thickness direction spontaneously formed. The growth mechanisms are discussed based on growth kinetics and Sn diffusion on the growing surface.

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Improvement of interface properties in SiC(0001) MOS structures by plasma nitridation of SiC surface followed by SiO2 deposition and CO2 annealing

    Hiroki Fujimoto, Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   16 ( 7 ) 074004-1 - 074004-4  2023年07月  [査読有り]

     概要を見る

    Abstract

    Although nitridation passivates defects at the SiO2/SiC interface, avoiding the introduction of nitrogen atoms into SiO2 is crucial for reliability. This paper presents a method to selectively introduce nitrogen at the SiC-side of the interface. The method comprises the following steps: (i) plasma nitridation of the SiC surface, (ii) sputter deposition of SiO2, and (iii) annealing in a CO2 ambient. Significantly low Dit values of about 1 × 1011 cm−2eV−1 were obtained near the conduction band edge of SiC. Furthermore, the resulting interface properties were hardly degraded by excimer ultraviolet light irradiation, indicating better stability compared with a NO-nitrided sample.

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • Formation of high-quality SiO2/GaN interfaces with suppressed Ga-oxide interlayer via sputter deposition of SiO2

    Kentaro Onishi, Takuma Kobayashi, Hidetoshi Mizobata, Mikito Nozaki, Akitaka Yoshigoe, Takayoshi Shimura, Heiji Watanabe

    Japanese Journal of Applied Physics   62 ( 5 ) 050903-1 - 050903-4  2023年05月  [査読有り]

     概要を見る

    Abstract

    While the formation of a GaOx interlayer is key to achieving SiO2/GaN interfaces with low defect density, positive fixed charge is rather easily generated through the reduction of GaOx layer if the annealing conditions are not properly designed. In this study, we minimized the unstable GaOx layer by sputter SiO2 deposition. Negligible GaOx growth was confirmed by synchrotron radiation X-ray photoelectron spectroscopy, even when post-deposition oxygen annealing up to 600°C was performed. A MOS device with negligible capacitance-voltage hysteresis, stable flat-band voltage, and low leakage current was demonstrated by performing oxygen and forming gas annealing at temperatures of 600°C and 400°C, respectively.

    DOI

    Scopus

    4
    被引用数
    (Scopus)
  • Fabrication and Luminescence Characterization of Ge Wires with Uniaxial Tensile Strains Applied using Internal Stresses in Deposited Metal Thin Films

    Takayoshi Shimura, Shogo Tanaka, Takuji Hosoi, Heiji Watanabe

    Journal of Electronic Materials    2023年03月  [査読有り]

    担当区分:筆頭著者

    DOI

    Scopus

  • Reduction of interface and oxide traps in SiO2/GaN MOS structures by oxygen and forming gas annealing

    Bunichiro Mikake, Takuma Kobayashi, Hidetoshi Mizobata, Mikito Nozaki, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   16 ( 3 ) 031004 - 031004  2023年03月  [査読有り]

     概要を見る

    Abstract

    The effect of post-deposition annealing on the electrical characteristics of SiO2/GaN MOS devices was investigated. While the key to the improvement was using oxygen annealing to form an interfacial GaOx layer and forming gas annealing to passivate the remaining defects, caution must be taken not to produce a fixed charge through reduction of the GaOx layer. By growing the GaOx layer with oxygen annealing at 800 °C and performing forming gas annealing at a low temperature of 200 °C, it became possible to suppress the reduction of GaOx and to reduce the interface traps, oxide traps, and fixed charge simultaneously.

    DOI

    Scopus

    4
    被引用数
    (Scopus)
  • Controllability of luminescence wavelength from GeSn wires fabricated by laser-induced local liquid phase crystallization on quartz substrates

    Takayoshi Shimura, Ryoga Yamaguchi, Naoto Tabuchi, Masato Kondoh, Mizuki Kuniyoshi, Takuji Hosoi, Takuma Kobayashi, Heiji Watanabe

    Japanese Journal of Applied Physics   62 ( SC ) SC1083-1 - SC1083-5  2023年03月  [査読有り]

    担当区分:筆頭著者

     概要を見る

    Abstract

    We examined the effects of the laser scan speed and power on the Sn fraction and crystallinity of GeSn wires of 1 μm width and 1 mm length fabricated by laser-induced local liquid phase crystallization on quartz substrates. The Sn fraction increased from 1% to 3.5% with an increasing scan speed from 5 to 100 μm s−1, corresponding to a luminescence wavelength of 1770–2070 nm. This result can be interpreted as the scan speed dependence of the non-equilibrium degree during crystal growth. The increase in the laser power reduced the Sn fraction and caused a blue shift in the luminescence wavelength. We discuss these phenomena based on the growth kinetics of zone melting.

    DOI

    Scopus

  • 局所液相成長法によって作製した単結晶GeSn細線の受光・発光特性

    志村 考功, 細井 卓治, 小林 拓真, 渡部 平司

    レーザー研究   50 ( 10 ) 565 - 569  2022年10月  [査読有り]

    担当区分:筆頭著者

  • Degradation of NO-nitrided SiC MOS interfaces by excimer ultraviolet light irradiation

    Hiroki Fujimoto, Takuma Kobayashi, Mitsuru Sometani, Mitsuo Okamoto, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   15 ( 10 ) 104004 - 104004  2022年10月  [査読有り]

     概要を見る

    Abstract

    The impact of excimer ultraviolet (UV) light irradiation on SiO2/SiC(0001) and (112̄0) interfaces was examined to get insight into the effect of NO nitridation. While NO nitridation appears to be effective in passivating the electron traps at the SiO2/SiC interfaces, we found that the nitridation induces additional traps that are not active until UV light is irradiated. The traps include those causing hysteresis and frequency dispersion in the C–V characteristics and those affecting the long-term reliability of MOS devices. A non-nitrided SiO2/SiC interface was less sensitive to UV light, indicating the instability of the nitrided SiC MOS structure.

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Electrical properties and energy band alignment of SiO2/GaN metal-oxide-semiconductor structures fabricated on N-polar GaN( 0001¯) substrates

    Hidetoshi Mizobata, Kazuki Tomigahara, Mikito Nozaki, Takuma Kobayashi, Akitaka Yoshigoe, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Letters   121 ( 6 ) 062104-1 - 062104-6  2022年08月  [査読有り]

     概要を見る

    The interface properties and energy band alignment of SiO2/GaN metal-oxide-semiconductor (MOS) structures fabricated on N-polar GaN([Formula: see text]) substrates were investigated by electrical measurements and synchrotron-radiation x-ray photoelectron spectroscopy. They were then compared with those of SiO2/GaN MOS structures on Ga-polar GaN(0001). Although the SiO2/GaN([Formula: see text]) structure was found to be more thermally unstable than that on the GaN(0001) substrate, excellent electrical properties were obtained for the SiO2/GaN([Formula: see text]) structure by optimizing conditions for post-deposition annealing. However, the conduction band offset for SiO2/GaN([Formula: see text]) was smaller than that for SiO2/GaN(0001), leading to increased gate leakage current. Therefore, caution is needed when using N-polar GaN([Formula: see text]) substrates for MOS device fabrication.

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Impact of post-nitridation annealing in CO2 ambient on threshold voltage stability in 4H-SiC metal-oxide-semiconductor field-effect transistors

    Takuji Hosoi, Momoe Ohsako, Kidist Moges, Koji Ito, Tsunenobu Kimoto, Mitsuru Sometani, Mitsuo Okamoto, Akitaka Yoshigoe, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   16 ( 6 ) 061003-1 - 061003-5  2022年05月  [査読有り]

    DOI

    Scopus

    3
    被引用数
    (Scopus)
  • Comprehensive physical and electrical characterizations of NO nitrided SiO2/4H-SiC(112̄0) interfaces

    Takato Nakanuma, Yu Iwakata, Arisa Watanabe, Takuji Hosoi, Takuma Kobayashi, Mitsuru Sometani, Mitsuo Okamoto, Akitaka Yoshigoe, Takayoshi Shimura, Heiji Watanabe

    Japanese Journal of Applied Physics   61 ( SC ) SC1065-1 - SC1065-8  2022年05月  [査読有り]

     概要を見る

    <title>Abstract</title>
    Nitridation of SiO2/4H-SiC(112̄0) interfaces with post-oxidation annealing in an NO ambient (NO-POA) and its impact on the electrical properties were investigated. Sub-nm-resolution nitrogen depth profiling at the interfaces was conducted by using a scanning X-ray photoelectron spectroscopy microprobe. The results showed that nitrogen atoms were incorporated just at the interface and that interface nitridation proceeded much faster than at SiO2/SiC(0001) interfaces, resulting in a 2.3 times higher nitrogen concentration. Electrical characterizations of metal-oxide-semiconductor capacitors were conducted through capacitance–voltage (<italic>C</italic>–<italic>V</italic>) measurements in the dark and under illumination with ultraviolet light to evaluate the interface defects near the conduction and valence band edges and those causing hysteresis and shifting of the <italic>C</italic>–<italic>V</italic> curves. While all of these defects were passivated with the progress of the interface nitridation, excessive nitridation resulted in degradation of the MOS capacitors. The optimal conditions for NO-POA are discussed on the basis of these experimental findings.

    DOI

    Scopus

    8
    被引用数
    (Scopus)
  • Fixed-charge generation in SiO2/GaN MOS structures by forming gas annealing and its suppression by controlling Ga-oxide interlayer growth

    Hidetoshi Mizobata, Mikito Nozaki, Takuma Kobayashi, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Japanese Journal of Applied Physics   61 ( SC ) SC1034 - SC1034  2022年05月  [査読有り]

     概要を見る

    <title>Abstract</title>
    A recent study has shown that anomalous positive fixed charge is generated at SiO2/GaN interfaces by forming gas annealing (FGA). Here, we conducted systematic physical and electrical characterizations of GaN-based metal-oxide-semiconductor (MOS) structures to gain insight into the charge generation mechanism and to design optimal interface structures. A distinct correlation between the amount of FGA-induced fixed charge and interface oxide growth indicated the physical origins of the fixed charge to be defect formation driven by the reduction of the Ga-oxide (GaO<italic>
    x
    </italic>) interlayer. This finding implies that, although post-deposition annealing in oxygen compensates for oxygen deficiencies and FGA passivates defect in GaN MOS structures, excessive interlayer GaO<italic>
    x
    </italic> growth leads to instability in the subsequent FGA treatment. On the basis of this knowledge, SiO2/GaO<italic>
    x
    </italic>/GaN MOS devices with improved electrical properties were fabricated by precisely controlling the interfacial oxide growth while taking advantage of defect passivation with FGA.

    DOI

    Scopus

    10
    被引用数
    (Scopus)
  • Toward Super Temporal Resolution by Suppression of Mixing Effects of Electrons

    Nguyen Hoai Ngo, Takeharu Goji Etoh, Kazuhiro Shimonomura, Taeko Ando, Yoshiyuki Matsunaga, Takayoshi Shimura, Heiji Watanabe, Hideki Mutoh, Yoshinari Kamakura, Edoardo Charbon

    IEEE Transactions on Electron Devices   69 ( 6 ) 2879 - 2885  2022年04月  [査読有り]

    DOI

  • Impact of nitridation on the reliability of 4H-SiC(112̄0) MOS devices

    Takato Nakanuma, Takuma Kobayashi, Takuji Hosoi, Mitsuru Sometani, Mitsuo Okamoto, Akitaka Yoshigoe, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   15 ( 4 ) 041002-1 - 041002-4  2022年04月  [査読有り]

     概要を見る

    Abstract

    The leakage current and flat-band voltage (VFB) instability of NO-nitrided SiC(11$\bar{2}$0) (a-face) MOS devices were systematically investigated. Although NO nitridation is effective in improving the interface properties, we found that it reduces the onset field of Fowler–Nordheim current by about 1 MV cm−1, leading to pronounced leakage current. Synchrotron radiation X-ray photoelectron spectroscopy revealed that the nitridation reduces the conduction band offset at the SiO2/SiC interface, corroborating the above finding. Furthermore, systematical positive and negative bias stress tests clearly indicated the enhancement of VFB instability of nitrided a-face MOS devices against electron and hole injection.

    DOI

    Scopus

    6
    被引用数
    (Scopus)
  • Characterization of Electron Traps in Gate Oxide of m-plane SiC MOS Capacitors

    Yutaka Terao, Takuji Hosoi, Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe

    2022 IEEE International Reliability Physics Symposium (IRPS)     P66-1 - P66-4  2022年03月  [査読有り]

    DOI

  • Investigation of reliability of NO nitrided SiC(1100) MOS devices

    Takato Nakanuma, Asato Suzuki, Yu Iwakata, Takuma Kobayashi, Mitsuru Sometani, Mitsuo Okamoto, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    2022 IEEE International Reliability Physics Symposium (IRPS)     3B.2-1 - 3B.2-5  2022年03月  [査読有り]

    DOI

  • Insight into interface electrical properties of metal–oxide–semiconductor structures fabricated on Mg-implanted GaN activated by ultra-high-pressure annealing

    Yuhei Wada, Hidetoshi Mizobata, Mikito Nozaki, Takuma Kobayashi, Takuji Hosoi, Tetsu Kachi, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Letters   120 ( 8 ) 082103 - 082103  2022年02月  [査読有り]

     概要を見る

    GaN-based metal–oxide–semiconductor (MOS) devices, such as n- and p-type capacitors and inversion- and accumulation-type p-channel field effect transistors (MOSFETs), were fabricated by Mg-ion implantation and ultra-high-pressure annealing (UHPA) under 1-GPa nitrogen pressure. Even though UHPA was conducted at 1400 °C without protective layers on GaN surfaces, n-type MOS capacitors with SiO2 gate dielectrics formed on non-ion-implanted regions exhibited well-behaved capacitance–voltage characteristics with negligible hysteresis and frequency dispersion, indicating distinct impact of UHPA in suppressing surface degradation during high-temperature annealing. Efficient activation of the implanted Mg dopants and reasonable hole accumulation at the SiO2/GaN interfaces were also achieved for p-type capacitors by UHPA, but the fabricated inversion- and accumulation-type p-channel GaN MOSFETs were hardly turned on. The findings reveal extremely low hole mobility at GaN MOS interfaces and suggest an intrinsic obstacle for the development of GaN-based MOS devices.

    DOI

    Scopus

    12
    被引用数
    (Scopus)
  • 構造化X線光源による透過型X線イメージングの高感度化・高分解能化

    志村考功

    検査技術   27 ( 1 ) 49 - 55  2022年01月

    担当区分:筆頭著者

  • High-temperature CO2 treatment for improving electrical characteristics of 4H-SiC(0001) metal-oxide-semiconductor devices

    Takuji Hosoi, Momoe Ohsako, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   14 ( 10 ) 101001 - 101001  2021年10月  [査読有り]

    DOI

    Scopus

    8
    被引用数
    (Scopus)
  • Probing the surface potential of SiO2/4H-SiC(0001) by terahertz emission spectroscopy

    Hidetoshi Nakanishi, Tatsuhiko Nishimura, Iwao Kawayama, Masayoshi Tonouchi, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Journal of Applied Physics   130 ( 11 ) 115305-1 - 115305-7  2021年09月  [査読有り]

    DOI

    Scopus

    5
    被引用数
    (Scopus)
  • Demonstration of 4H-SiC CMOS circuits consisting of well-balanced n- and p-channel MOSFETs fabricated by ultrahigh-temperature gate oxidation

    Kidist Moges, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   14 ( 9 ) 091006 - 091006  2021年09月  [査読有り]

    DOI

    Scopus

    6
    被引用数
    (Scopus)
  • Backscattering X-ray imaging using Fresnel zone aperture

    Takayoshi Shimura, Takuji Hosoi, Heiji Watanabe

    Applied Physics Express   14 ( 7 ) 072002 - 072002  2021年07月  [査読有り]

    担当区分:筆頭著者

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • Inhibition of Mg activation in p-type GaN caused by thin AlGaN capping layer and impact of designing hydrogen desorption pathway

    Yuhei Wada, Hidetoshi Mizobata, Mikito Nozaki, Takuji Hosoi, Tetsuo Narita, Tetsu Kachi, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   14 ( 7 ) 071001 - 071001  2021年07月  [査読有り]

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • A Pixel Design of a Branching Ultra-Highspeed Image Sensor

    Nguyen Hoai Ngo, Kazuhiro Shimonomura, Taeko Ando, Takayoshi Shimura, Heiji Watanabe, Kohsei Takehara, Anh Quang Nguyen, Edoardo Charbon, Takeharu Goji Etoh

    Sensors   21 ( 7 ) 2506 - 2506  2021年04月  [査読有り]

     概要を見る

    A burst image sensor named Hanabi, meaning fireworks in Japanese, includes a branching CCD and multiple CMOS readout circuits. The sensor is backside-illuminated with a light/charge guide pipe to minimize the temporal resolution by suppressing the horizontal motion of signal carriers. On the front side, the pixel has a guide gate at the center, branching to six first-branching gates, each bifurcating to second-branching gates, and finally connected to 12 (=6×2) floating diffusions. The signals are either read out after an image capture operation to replay 12 to 48 consecutive images, or continuously transferred to a memory chip stacked on the front side of the sensor chip and converted to digital signals. A CCD burst image sensor enables a noiseless signal transfer from a photodiode to the in-situ storage even at very high frame rates. However, the pixel count conflicts with the frame count due to the large pixel size for the relatively large in-pixel CCD memory elements. A CMOS burst image sensor can use small trench-type capacitors for memory elements, instead of CCD channels. However, the transfer noise from a floating diffusion to the memory element increases in proportion to the square root of the frame rate. The Hanabi chip overcomes the compromise between these pros and cons.

    DOI

    Scopus

    3
    被引用数
    (Scopus)
  • 水素ガスアニールにより生じるSiO2/GaN界面の異常な固定電荷の起源

    溝端 秀聡, 和田 悠平, 野崎 幹人, 細井 卓治, 志村 考功, 渡部 平司

        195 - 199  2021年01月  [査読有り]

  • 水素ガスアニールに起因したSiO2/GaN界面での異常な固定電荷生成とその物理的起源

    溝端秀聡, 和田悠平, 野崎幹人, 細井卓治, 志村考功, 渡部平司

       2020年12月  [査読有り]

  • 4H-SiC CMOS inverters fabricated by ultrahigh-temperature gate oxidation and forming gas annealing

    Kidist Moges, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

       2020年12月  [査読有り]

  • Toward the Super Temporal Resolution Image Sensor with a Germanium Photodiode for Visible Light

    Nguyen Hoai Ngo, Anh Quang Nguyen, Fabian M. Bufler, Yoshinari Kamakura, Hideki Mutoh, Takayoshi Shimura, Takuji Hosoi, Heiji Watanabe, Philippe Matagne, Kazuhiro Shimonomura, Kohsei Takehara, Edoardo Charbon, Takeharu Goji Etoh

    Sensors   20 ( 23 ) 6895 - 6895  2020年12月  [査読有り]

    DOI

    Scopus

    5
    被引用数
    (Scopus)
  • 光の飛翔を捉えた超高速イメージセンサと今後の展開

    江藤 剛治, 下ノ村 和弘, 志村 考功, 渡部 平司

    映像情報メディア学会誌   74 ( 6 ) 936 - 941  2020年11月

  • Gate Stack Technology for Advanced GaN-based MOS Devices

    Heiji Watanabe, Takuji Hosoi, Mikito Nozaki, Hidetoshi Mizobata, Takayoshi Shimura

       2020年09月  [査読有り]  [招待有り]

  • Anomalous interface fixed charge generated by forming gas annealing in SiO2/GaN MOS devices

    Hidetoshi Mizobata, Yuhei Wada, Mikito Nozaki, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   13 ( 8 ) 081001 - 081001  2020年08月  [査読有り]

    DOI

    Scopus

    9
    被引用数
    (Scopus)
  • Insight into Channel Conduction Mechanisms of 4H-SiC(0001) MOSFET Based on Temperature-Dependent Hall Effect Measurement

    Hironori Takeda, Mitsuru Sometani, Takuji Hosoi, Takayoshi Shimura, Hiroshi Yano, Heiji Watanabe

    Materials Science Forum   1004   620 - 626  2020年07月  [査読有り]

     概要を見る

    Temperature-dependent Hall effect measurements were conducted to investigate the channel conduction mechanisms of 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFETs). This method allows us to discriminate the impact of the density of mobile (free) carriers in the inversion channels and their net mobility on the performance of SiC MOSFETs. It was found that, while the free carrier ratio of SiC MOSFETs with conventional gate oxides formed by dry oxidation is below 4% at 300 K, increasing the free carrier ratio due to thermal excitation of trapped electrons from SiO2/SiC interfaces leads to an unusual improvement in the field-effect mobility of SiC MOSFETs at elevated temperatures. Specifically, a significant increase in free carrier density surpasses the mobility degradation caused by phonon scattering for thermally grown SiO2/SiC interfaces. It was also found that, although nitrogen incorporation in SiO2/SiC interfaces increases the free carrier ratio typically up to around 30%, introduction of an additional scattering factor associated with interface nitridation compensates for the moderate amount of thermally generated mobile carriers at high temperatures, indicating a fundamental drawback of nitridation of SiO2/SiC interfaces. On the basis of these findings, we discuss the channel conduction mechanisms of SiC MOSFETs.

    DOI

  • Evaluation and mitigation of reactive ion etching-induced damage in AlGaN/GaN MOS structures fabricated by low-power inductively coupled plasma

    Mikito Nozaki, Daiki Terashima, Akitaka Yoshigoe, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Japanese Journal of Applied Physics   59 ( SM ) SMMA07 - SMMA07  2020年07月  [査読有り]

    DOI

    Scopus

    4
    被引用数
    (Scopus)
  • Insight into gate dielectric reliability and stability of SiO2/GaN MOS devices

    Yuhei Wada, Mikito Nozaki, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Japanese Journal of Applied Physics   59 ( SM ) SMMA03 - SMMA03  2020年07月  [査読有り]

    DOI

    Scopus

    17
    被引用数
    (Scopus)
  • 液相成長GeSnを用いた横型pinダイオードの高効率室温エレクトロルミネッセンス

    和田 裕希, 細井 卓治, 志村 考功, 渡部 平司

        65 - 68  2020年01月  [査読有り]

  • フラッシュランプアニール法を用いたGOI基板上固相成長GeSn n-MOSFETsの作製

    岡 博史, 水林 亘, 森 貴洋, 石川 由紀, 細井 卓治, 志村 考功, 渡部 平司, 遠藤 和彦

        121 - 124  2020年01月  [査読有り]

  • Comprehensive and systematic design of metal/high-k gate stack for high-performance and highly reliable SiC power MOSFET

    Takuji Hosoi, Shuji Azumo, Yusaku Kashiwagi, Shigetoshi Hosaka, Kenji Yamamoto, Masatoshi Aketa, Hirokazu Asahara, Takashi Nakamura, Tsunenobu Kimoto, Tayoshi Shimura, Heiji Watanabe

    Japanese Journal of Applied Physics   59 ( 2 ) 021001-1 - 021001-8  2020年01月  [査読有り]

    DOI

    Scopus

    5
    被引用数
    (Scopus)
  • The Role of Oxygen Ambient Anneal for Ba-incorporated SiO2/SiC Interface

    寺尾 豊, 辻 英徳, 細井 卓治, 張 旭芳, 矢野 裕司, 志村 考功, 渡部 平司

        137 - 139  2020年01月  [査読有り]

  • Room Temperature Electroluminescence from Tensile-strained GeSn Lateral PIN Structures Fabricated by Nucleation-controlled Liquid-phase Crystallization

    Y. Wada, T. Hosoi, T. Shimura, H. Watanabe

       2019年12月  [査読有り]

  • High-temperature CO2 Process for Improvement of SiC MOS Characteristics

    T. Hosoi, M. Ohsako, T. Shimura, H. Watanabe

       2019年12月  [査読有り]

  • レーザーテラヘルツエミッション顕微鏡を用いたSiC MOS界面の表面ポテンシャル評価

    西村辰彦, 中西英俊, 川山巌, 斗内政吉, 細井卓治, 志村考功, 渡部平司

       2019年12月  [査読有り]

  • SiO2中へのGa拡散がSiO2/GaN MOS特性に与える影響の評価

    和田悠平, 野崎幹人, 細井卓治, 志村考功, 渡部平司

       2019年12月  [査読有り]

  • AlGaN/GaNヘテロ構造の低バイアス電力ICPエッチングによる低損傷加工

    野崎幹人, 寺島大貴, 吉越章隆, 細井卓治, 志村考功, 渡部平司

       2019年12月  [査読有り]

  • CO2アニールによるSiO2/SiC界面窒素量制御とSiC MOSFET信頼性向上

    細井卓治, 大迫桃恵, 伊藤滉二, 志村考功, 木本恒暢, 渡部平司

       2019年12月  [査読有り]

  • Evaluation of Reactive Ion Etching-induced Damage on 2DEG at AlGaN/GaN Interface

    Mikito Nozaki, Daiki Terashima, Akitaka Yoshigoe, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

       2019年11月  [査読有り]

  • Thermal Oxidation of SiC: Kinetics and SiO2/SiC Interface Property

    Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

       2019年11月  [査読有り]  [招待有り]

  • Oxidation of SiGe Alloy: Residual Order in SiO2 and Self-limiting Oxidation

    Takayoshi Shimura, Takuji Hosoi, Heiji Watanabe

       2019年11月  [査読有り]  [招待有り]

  • Characterization of Surface Potential of Oxidized Silicon Carbide by a Laser Terahertz Emission Microscope

    Tatsuhiko Nishimura, Hidetoshi Nakanishi, Iwao Kawayama, Masayoshi Tonouchi, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

       2019年10月  [査読有り]

  • Interface Engineering of SiC MOS Devices by High-temperature CO2 Treatment

    Takuji Hosoi, Momoe Ohsako, Takayoshi Shimura, Heiji Watanabe

       2019年10月  [査読有り]

  • Ideal phonon-scattering-limited mobility in inversion channels of 4H-SiC(0001) MOSFETs with ultralow net doping concentrations

    Mitsuru Sometani, Takuji Hosoi, Hirohisa Hirai, Tetsuo Hatakeyama, Shinsuke Harada, Hiroshi Yano, Takayoshi Shimura, Heiji Watanabe, Yoshiyuki Yonezawa, Hajime Okumura

      115 ( 13 ) 132102-1 - 132102-5  2019年09月  [査読有り]

    DOI

    Scopus

    23
    被引用数
    (Scopus)
  • Gate stack engineering for GaN power MOSFETs

    T. Hosoi, M. Nozaki, T. Shimura, H. Watanabe

       2019年08月  [査読有り]  [招待有り]

  • Recent progress in understanding carbon-related interface defects and electrical properties in SiC-MOS devices

    T. Hosoi, K. Moges, T. Shimura, H. Watanabe

       2019年07月  [査読有り]  [招待有り]

  • Evaluation of the Impact of Al Atoms on SiO2/SiC Interface Property by Using 4H-SiC n+-Channel Junctionless MOSFET

    Hironori Takeda, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Materials Science Forum   963   171 - 174  2019年07月  [査読有り]

    DOI

    Scopus

    5
    被引用数
    (Scopus)
  • Tensile-strained GeSn-on-SOI MSM Photodetector Fabricated by Solid-phase Epitaxy

    H. Oka, W. Mizubayashi, T. Hosoi, T. Shimura, H. Watanabe, T. Maeda, N. Uchida, K. Endo

       2019年06月  [査読有り]

  • NO窒化処理を施したSiO2/SiC界面近傍の窒素分布評価

    細井卓治, Kidist Moges, 染谷 満, 志村考功, 原田信介, 渡部平司

    信学技報   119 ( 96 ) 1 - 4  2019年06月  [査読有り]

  • Comparative study on thermal robustness of GaN and AlGaN/GaN MOS devices with thin oxide interlayers

    Mikito Nozaki, Daiki Terashima, Takahiro Yamada, Akitaka Yoshigoe, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Japanese Journal of Applied Physics   58 ( SC ) SCCD08-1 - SCCD08-6  2019年05月  [査読有り]

    DOI

    Scopus

    3
    被引用数
    (Scopus)
  • Mobility enhancement in recessed-gate AlGaN/GaN MOS-HFETs using an AlON gate insulator

    Takuji Hosoi, Kenta Watanabe, Mikito Nozaki, Takahiro Yamada, Takayoshi Shimura, Heiji Watanabe

    Japanese Journal of Applied Physics   58 ( SC ) SCCD16-1 - SCCD16-6  2019年05月  [査読有り]

    DOI

    Scopus

    11
    被引用数
    (Scopus)
  • Performance improvement in 4H-SiC(0001) p-channel metal-oxide-semiconductor field-effect transistors with a gate oxide grown at ultrahigh temperature

    Kidist Moges, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   12 ( 6 ) 061003-1 - 061003-4  2019年05月  [査読有り]

    DOI

    Scopus

    10
    被引用数
    (Scopus)
  • Controlled oxide interlayer for improving reliability of SiO2/GaN MOS devices

    Takahiro Yamada, Daiki Terashima, Mikito Nozaki, Hisashi Yamada, Tokio Takahashi, Mitsuaki Shimizu, Akitaka Yoshigoe, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Japanese Journal of Applied Physics   58 ( SC ) SCCD06-1 - SCCD06-5  2019年04月  [査読有り]

    DOI

    Scopus

    28
    被引用数
    (Scopus)
  • Analysis of III–V oxides at high-k/InGaAs interfaces induced by metal electrodes

    Shinichi Yoshida, Dennis H L Lin, Rena Suzuki, Yuki Miyanami, Nadine Collaert, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Japanese Journal of Applied Physics   58 ( 5 ) 051010-1 - 051010-6  2019年04月  [査読有り]

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • 近赤外イメージセンサーに向けた石英基板上裏面照射型GeSnフォトダイオードアレイの開発

    岡 博史, 井上 慶太郎, Thi Thuy Nguyen, 黒木 伸一郎, 細井 卓治, 志村 考功, 渡部 平司

       2019年03月  [査読有り]  [招待有り]

  • 熱酸化SiO2/SiC界面欠陥とMOS特性

    細井卓治, 志村 考功, 渡部 平司

       2019年02月  [査読有り]  [招待有り]

  • Demonstration of mm long nearly intrinsic GeSn single-crystalline wires on quartz substrate fabricated by nucleation-controlled liquid-phase crystallization

    Youki Wada, Keitaro Inoue, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Japanese Journal of Applied Physics   58 ( SB ) SBBK01-1 - SBBK01-6  2019年02月  [査読有り]

    DOI

    Scopus

    6
    被引用数
    (Scopus)
  • 温度可変ホール効果測定による4H-SiC(0001) MOSFETチャネル内電子伝導機構の考察

    武田 紘典, 染谷 満, 細井 卓治, 志村 考功, 矢野 裕司, 渡部 平司

       2019年01月  [査読有り]

  • 導電型の異なるGaN上GaOx界面層の放射光XPS分析

    山田 高寛, 寺島 大貴, 野崎 幹人, 山田 永, 高橋 言諸, 清水 三聡, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司

       2019年01月  [査読有り]

  • 温度可変ホール効果測定による4H-SiC(0001) MOSFETチャネル内電子伝導機構の考察

    武田紘典, 染谷満, 細井卓治, 志村考功, 矢野, 裕司, 渡部平司

    電子デバイス界面テクノロジー研究会 -材料・プロセス・デバイス特性の物理- 第24回研究会 予稿集     225 - 228  2019年01月

  • High-mobility P- and N-channel GeSn Thin-film Transistors on Transparent Substrate Fabricated by Nucleation-controlled Liquid-phase Crystallization

    T. Hosoi, H. Oka, K. Inoue, Y. Wada, T. Shimura, H. Watanabe

       2018年12月  [査読有り]

  • Improved reliability of SiO2/GaN MOS devices by controlling the oxide interlayer

    Takahiro Yamada, Daiki Terashima, Mikito Nozaki, Hisashi Yamada, Tokio Takahashi, Mitsuaki Shimizu, Akitaka Yoshigoe, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

       2018年11月  [査読有り]

  • SiC MOS界面特性改善に向けた高温CO2熱処理の検討

    大迫桃恵, 細井卓治, 志村考功, 渡部平司

       2018年11月  [査読有り]

  • Comparative study of thermal decomposition of thin Ga oxide layer on GaN and AlGaN surfaces

    Mikito Nozaki, Daiki Terashima, Takahiro Yamada, Akitaka Yoshigoe, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

       2018年11月  [査読有り]

  • NO窒化処理を施したSiO2/SiC界面における窒素原子分布の高精度評価

    Kidist Moges, 染谷満, 細井卓治, 志村考功, 原田信介, 渡部平司

       2018年11月  [査読有り]

  • GaN導電型がSiO2/GaN構造のGaOx界面層形成に及ぼす影響

    山田高寛, 寺島大貴, 野崎幹人, 山田永, 高橋言諸, 清水三聡, 吉越章隆, 細井卓治, 志村考功, 渡部平司

       2018年11月  [査読有り]

  • 4H-SiC(0001) MOSFETの可動電子密度の温度依存性に基づくチャネル内電子伝導機構の考察

    武田紘典, 染谷満, 細井卓治, 志村考功, 矢野裕司, 渡部平司

    先進パワー半導体分科会 第5回講演会 予稿集     97 - 98  2018年11月  [査読有り]

  • Optoelectronic Integration Based on High-quality GeSn Grown by Liquid Phase Crystallization

    T. Hosoi, H. Oka, T. Shimura, H. Watanabe

       2018年10月  [査読有り]  [招待有り]

  • Highly n-Type Doped Ge and Gesn Wires Fabricated By Lateral Liquid-Phase Epitaxy

    H. Watanabe, T. Tomita, H. Oka, K. Inoue, T. Hosoi, T. Shimura

       2018年10月  [査読有り]

  • Gate Stack Technology for Advanced GaN-Based Mos Devices

    H. Watanabe, T. Yamada, M. Nozaki, T. Hosoi, T. Shimura

       2018年10月  [査読有り]  [招待有り]

  • Improved channel mobility of 4H-SiC n-MOSFETs by ultrahigh-temperature gate oxidation with low-oxygen partial-pressure cooling

    Mitsuru Sometani, Yoshihito Katsu, Daisuke Nagai, Hidenori Tsuji, Takuji Hosoi, Takayoshi Shimura, Yoshiyuki Yonezawa, Heiji Watanabe

    Japanese Journal of Applied Physics   57 ( 12 ) 120304-1 - 120304-4  2018年10月  [査読有り]

    DOI

    Scopus

    5
    被引用数
    (Scopus)
  • Sub-nanometer-scale depth profiling of nitrogen atoms in SiO2/4H-SiC structures treated with NO annealing

    Kidist Moges, Mitsuru Sometani, Takuji Hosoi, Takayoshi Shimura, Shinsuke Harada, Heiji Watanabe

    Applied Physics Express   11 ( 10 ) 101303-1 - 101303-4  2018年09月  [査読有り]

    DOI

    Scopus

    16
    被引用数
    (Scopus)
  • Sub-nm-scale depth profiling of nitrogen in NO- and N2-annealed SiO2/4H-SiC(0001) structures

    K. Moges, M. Sometani, T. Hosoi, T. Shimura, S. Harada, H. Watanabe

    Materials Science Forum   963   226 - 229  2018年09月  [査読有り]

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • Characterization of SiO2/SiC interface using a Laser Terahertz Emission Microscope

    Tatsuhiko Nishimura, Hidetoshi Nakanishi, Iwao Kawayama, Masayoshi Tonouchi, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

       2018年09月  [査読有り]

  • Superiority of pure O2-based gate oxidation on Hall effect mobility of 4H-SiC (0001) MOSFET revealed by low-doped epitaxial wafers

    M. Sometani, T. Hosoi, T. Hatakeyama, S. Harada, H. Yano, T. Shimura, H. Watanabe, Y. Yonezawa, H. Okumura

       2018年09月  [査読有り]

  • 低不純物濃度4H-SiC(0001)基板上に作製したMOSFETのホール効果移動度に対するNO-POAの影響

    染谷満, 細井卓治, 畠山哲夫, 原田信介, 矢野, 裕司, 志村考功, 渡部平司, 米澤喜幸, 奥村元

    第79回応用物理学会秋季学術講演会 講演予稿集     13-171  2018年09月

  • Ba添加によるSiC MOSFET特性向上の起源の検討

    藤田栄悟, 細井卓治, 染谷満, 畠山哲夫, 原田信介, 矢野, 裕司, 志村考功, 渡部平司

    第79回応用物理学会秋季学術講演会 講演予稿集     13-172  2018年09月

  • Passive–active oxidation boundary for thermal oxidation of 4H-SiC(0001) surface in O2/Ar gas mixture and its impact on SiO2/SiC interface quality

    Takuji Hosoi, Yoshihito Katsu, Kidist Moges, Daisuke Nagai, Mitsuru Sometani, Hidenori Tsuji, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   11 ( 9 ) 091301-1 - 091301-4  2018年08月  [査読有り]

    DOI

    Scopus

    19
    被引用数
    (Scopus)
  • Insight into enhanced field-effect mobility of 4H-SiC MOSFET with Ba incorporation studied by Hall effect measurements

    Eigo Fujita, Mitsuru Sometani, Tetsuo Hatakeyama, Shinsuke Harada, Hiroshi Yano, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    AIP Advances   8 ( 8 ) 085305-1 - 085305-6  2018年08月  [査読有り]

    DOI

    Scopus

    16
    被引用数
    (Scopus)
  • Advancement of X-ray radiography using microfocus X-ray source in conjunction with amplitude grating and SOI pixel detector, SOPHIAS

    Ryo Hosono, Tomoki Kawabata, Kiyoshi Hayashida, Togo Kudo, Kyosuke Ozaki, Nobukazu Teranishi, Takaki Hatsui, Takuji Hosoi, Heiji Watanabe, Takayoshi Shimura

    Optics Express   26 ( 16 ) 21044 - 21053  2018年08月  [査読有り]

    DOI

    Scopus

    4
    被引用数
    (Scopus)
  • Implementation of atomic layer deposition-based AlON gate dielectrics in AlGaN/GaN MOS structure and its physical and electrical properties

    Mikito Nozaki, Kenta Watanabe, Takahiro Yamada, Hong-An Shih, Satoshi Nakazawa, Yoshiharu Anda, Tetsuzo Ueda, Akitaka Yoshigoe, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Japanese Journal of Applied Physics   57 ( 6 ) 06KA02-1 - 06KA02-7  2018年06月  [査読有り]

     概要を見る

    Alumina incorporating nitrogen (aluminum oxynitride
    AlON) for immunity against charge injection was grown on a AlGaN/GaN substrate through the repeated atomic layer deposition (ALD) of AlN layers and in situ oxidation in ozone (O3) ambient under optimized conditions. The nitrogen distribution was uniform in the depth direction, the composition was controllable over a wide range (0.5–32%), and the thickness could be precisely controlled. Physical analysis based on synchrotron radiation X-ray photoelectron spectroscopy (SR-XPS) revealed that harmful intermixing at the insulator/AlGaN interface causing Ga out-diffusion in the gate stack was effectively suppressed by this method. AlON/AlGaN/GaN MOS capacitors were fabricated, and they had excellent electrical properties and immunity against electrical stressing as a result of the improved interface stability.

    DOI

    Scopus

    20
    被引用数
    (Scopus)
  • SiO2/AlON stacked gate dielectrics for AlGaN/GaN MOS heterojunction field-effect transistors

    Kenta Watanabe, Daiki Terashima, Mikito Nozaki, Takahiro Yamada, Satoshi Nakazawa, Masahiro Ishida, Yoshiharu Anda, Tetsuzo Ueda, Akitaka Yoshigoe, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Japanese Journal of Applied Physics   57 ( 6 ) 06KA03-1 - 06KA03-6  2018年06月  [査読有り]

     概要を見る

    Stacked gate dielectrics consisting of wide bandgap SiO2 insulators and thin aluminum oxynitride (AlON) interlayers were systematically investigated in order to improve the performance and reliability of AlGaN/GaN metal–oxide–semiconductor (MOS) devices. A significantly reduced gate leakage current compared with that in a single AlON layer was achieved with these structures, while maintaining the superior thermal stability and electrical properties of the oxynitride/AlGaN interface. Consequently, distinct advantages in terms of the reliability of the gate dielectrics, such as an improved immunity against electron injection and an increased dielectric breakdown field, were demonstrated for AlGaN/GaN MOS capacitors with optimized stacked structures having a 3.3-nm-thick AlON interlayer.

    DOI

    Scopus

    10
    被引用数
    (Scopus)
  • Physical and electrical characterizations of AlGaN/GaN MOS gate stacks with AlGaN surface oxidation treatment

    Takahiro Yamada, Kenta Watanabe, Mikito Nozaki, Hong-An Shih, Satoshi Nakazawa, Yoshiharu Anda, Tetsuzo Ueda, Akitaka Yoshigoe, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Japanese Journal of Applied Physics   57 ( 6 )  2018年06月  [査読有り]

     概要を見る

    The impacts of inserting ultrathin oxides into insulator/AlGaN interfaces on their electrical properties were investigated to develop advanced AlGaN/GaN metal–oxide–semiconductor (MOS) gate stacks. For this purpose, the initial thermal oxidation of AlGaN surfaces in oxygen ambient was systematically studied by synchrotron radiation X-ray photoelectron spectroscopy (SR-XPS) and atomic force microscopy (AFM). Our physical characterizations revealed that, when compared with GaN surfaces, aluminum addition promotes the initial oxidation of AlGaN surfaces at temperatures of around 400 °C, followed by smaller grain growth above 850 °C. Electrical measurements of AlGaN/GaN MOS capacitors also showed that, although excessive oxidation treatment of AlGaN surfaces over around 700 °C has an adverse effect, interface passivation with the initial oxidation of the AlGaN surfaces at temperatures ranging from 400 to 500 °C was proven to be beneficial for fabricating high-quality AlGaN/GaN MOS gate stacks.

    DOI

    Scopus

    5
    被引用数
    (Scopus)
  • GaN-based Metal-Insulator-Semiconductor Transistors on Si for Power Switching Applications

    Satoshi Nakazawa, Hong-An Shih, Naohiro Tsurumi, Yoshiharu Anda, Tsuguyasu Hatsuda, Tetsuzo Ueda, Mikito Nozaki, Takahiro Yamada, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe, Tamotsu Hashizume

       2018年06月  [査読有り]  [招待有り]

  • AlON/AlGaN/GaN MIS-HFETによる高速スイッチング動作

    中澤敏志, 施泓安, 鶴見直大, 按田義治, 初田次康, 上田哲三, 野﨑幹人, 山田高寛, 細井卓治, 志村考功, 渡部平司, 橋詰保

       2018年03月  [査読有り]

  • 裏面照射型近赤外イメージセンサーに向けた基板上単結晶GeSnフォトダイオードアレイの開発

    岡博史, 井上慶太郎, Thi Thuy Nguyen, 黒木伸一郎, 細井卓治, 志村考功, 渡部平司

       2018年03月  [査読有り]

  • Lightly doped n-type tensile-strained single-crystalline GeSn-on-insulator structures formed by lateral liquid-phase crystallization

    Hiroshi Oka, Takashi Tomita, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   11 ( 1 ) 011304-1 - 011304-4  2018年01月  [査読有り]

    DOI

    Scopus

    8
    被引用数
    (Scopus)
  • Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal–oxide–semiconductor devices with improved gate dielectric reliability

    Takahiro Yamada, Kenta Watanabe, Mikito Nozaki, Hisashi Yamada, Tokio Takahashi, Mitsuaki Shimizu, Akitaka Yoshigoe, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Express   11 ( 1 ) 015701-1 - 015701-4  2018年01月  [査読有り]

    DOI

    Scopus

    41
    被引用数
    (Scopus)
  • High-mobility TFT and enhanced luminescence utilizing ucleation-controlled GeSn growth on transparent substrate for monolithic optoelectronic

    H. Oka, M. Koyama, T. Tomita, T. Amamoto, K. Tominaga, S. Tanaka, T. Hosoi, T. Shimura, H. Watanabe

       2018年01月  [査読有り]

  • Si基板上MIS型GaNパワーデバイスの開発

    施 泓安, 中澤 敏志, 鶴見 直大, 按田 義治, 初田 次康, 上田 哲三, 野﨑 幹人, 山田 高寛, 細井 卓治, 志村 考功, 渡部 平司, 橋詰 保

        87 - 90  2018年01月  [査読有り]  [招待有り]

  • 横方向液相エピタキシャル成長により作製した引張歪み高濃度n型Ge細線の低温発光特性と共振器の形成

    冨田 崇史, 岡 博史, 井上 慶太郎, 細井 卓治, 志村 考功, 渡部 平司

        9 - 12  2018年01月  [査読有り]

  • 石英基板上単結晶GeSn層形成と光電子デバイス応用

    細井 卓治, 岡 博史, 井上 慶太郎, 志村 考功, 渡部 平司

        151 - 154  2018年01月  [査読有り]

  • Improvement of SiO2/4H-SiC(0001) interface properties by H2 and Ar mixture gas treatment prior to SiO2 deposition

    Hidenori Tsuji, Takuji Hosoi, Yutaka Terao, Takayoshi Shimura, Heiji Watanabe

    Materials Science Forum   924   461 - 464  2018年  [査読有り]

     概要を見る

    We investigated the impact of high-temperature H2/Ar mixture gas treatment of 4HSiC(0001) surfaces before SiO2 deposition on the electrical properties of SiO2/SiC interfaces. Physical characterizations revealed that the SiC surface treated by the H2/Ar mixture gas exhibited a (√3×√3)R30° structure composed of Si-O bonds, indicating that a well-ordered and stable silicate adlayer was formed by the treatment to passivate SiC(0001) surface. Electrical defects at the CVD-grown SiO2/SiC interface was significantly reduced by the treatment. Consequently, a peak electron mobility in SiC-MOSFETs with the deposited gate oxides was enhanced to 24.9 cm2/Vs.

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Improvements of Grating-based X-ray Phase Contrast Imaging with a Microfocus X-ray Source by a SOI Pixel Detector, SOPHIAS

    R. Hosono, D. Tsukamoto, T. Kawabata, K. Hayashida, T. Kudo, K. Ozaki, T. Hatsui, N. Teranishi, T. Hosoi, H. Watanabe, T. Shimura

       2017年12月  [査読有り]

  • AlGaN/GaN MOS-HFET with high-quality and robust N-incorporated aluminum oxide (AlON) gate insulator

    K. Watanabe, M. Nozaki, T. Yamada, S. Nakazawa, M. Ishida, Y. Anda, T. Ueda, A. Yoshigoe, T. Hosoi, T. Shimura, H. Watanabe

       2017年12月  [査読有り]

  • 4H-SiC(0001) N- and P-channel MOSFETs with Pure SiO2 Gate Dielectrics Formed under Extreme Oxidation Conditions

    T. Hosoi, Y. Katsu, K. Moges, H. Tsuji, M. Sometani, T. Shimura, H. Watanabe

       2017年12月  [査読有り]

  • Fast Switching Performance by 20 A / 730 V AlGaN/GaN MIS-HFET Using AlON Gate Insulator

    S. Nakazawa, H.-A. Shih, N. Tsurumi, Y. Anda, T. Hatsuda, T. Ueda, M. Nozaki, T. Yamada, T. Hosoi, T. Shimura, H. Watanabe, T. Hashizume

       2017年12月  [査読有り]

  • Back-side Illuminated GeSn Photodiode Array on Quartz Substrate Fabricated by Laser-induced Liquid-phase Crystallization for Monolithically-integrated NIR Imager Chip

    H. Oka, K. Inoue, T. T. Nguyen, S. Kuroki, T. Hosoi, T. Shimura, H. Watanabe

       2017年12月  [査読有り]

  • SiO2/AlON Stacked Gate Dielectrics for AlGaN/GaN MOS-HFET

    K. Watanabe, D. Terashima, M. Nozaki, T. Yamada, S. Nakazawa, M. Ishida, Y. Anda, T. Ueda, A. Yoshigoe, T. Hosoi, T. Shimura, H. Watanabe

       2017年11月  [査読有り]

  • AlON Gate Dielectrics Formed by Repeating ALD-based Thin AlN Deposition and In situ Oxidation for AlGaN/GaN MOS-HFETs

    M. Nozaki, K. Watanabe, T. Yamada, H. Shih, S. Nakazawa, Y. Anda, T. Ueda, A. Yoshigoe, T. Hosoi, T. Shimura, H. Watanabe

       2017年11月  [査読有り]

  • レーザーテラヘルツエミッション顕微鏡を用いた 4H-SiC ウエハ/熱酸化膜の特性評価

    西村辰彦, 中西英俊, 川山巌, 斗内政吉, 細井卓治, 志村考功, 渡部平司

       2017年11月  [査読有り]

  • ジャンクションレス 4H-SiC(0001) MOSFET を用いた高濃度 n+層の電子移動度評価

    武田 紘典, 細井 卓治, 志村 考功, 渡部 平司

       2017年11月  [査読有り]

  • AlGaN/GaN MOS デバイス向け ALD-AlON ゲート絶縁膜に対する窒素添加効果

    野崎 幹人, 渡邉 健太, 山田 高寛, 施 泓安, 中澤 敏志, 按田 義治, 上田 哲三, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司

       2017年11月  [査読有り]

  • 紫外光照射とアニール処理による SiC MOS キャパシタの電気特性改善

    大迫 桃恵, 細井 卓治, 志村 考功, 渡部 平司

    先進パワー半導体分科会 第1回講演会予稿集    2017年11月  [査読有り]

  • マイクロフォーカスX線源と振幅格子を用いた多波長X線位相イメージング-SOI ピクセル検出器による高度化-

    細野凌, 塚本大裕, 川端智樹, 林田 清, 工藤統吾, 尾崎恭介, 初井宇記, 寺西信一, 細井卓治, 渡部平司, 志村考功

       2017年09月  [査読有り]

  • La埋め込みターゲットを用いたTalbot-Lau干渉計によるX線位相イメージング

    塚本大裕, 山崎周, 細野凌, 細井卓治, 渡部平司, 志村考功

       2017年09月  [査読有り]

  • Interface Property of SiO2/4H-SiC(0001) Structures Formed by Ultrahigh-Temperature Oxidation under Low Oxygen Partial Pressure

    T. Hosoi, Y. Katsu, D. Nagai, H. Tsuji, M. Sometani, T. Shimura, H. Watanabe

       2017年09月  [査読有り]

  • Enhancement-mode n-channel TFT and room-temperature near-infrared emission based on n+/p junction in single-crystalline GeSn on transparent substrate

    H. Oka, M. Koyama, T. Hosoi, T. Shimura, H. Watanabe

    Digest of Technical Papers - Symposium on VLSI Technology     T58 - T59  2017年07月  [査読有り]

     概要を見る

    We demonstrated an integration of enhancement-mode single-crystalline n-channel thin-film transistor (TFT) and n+/p diodes for light detection/emission based on the single-crystalline GeSn alloy grown on a transparent substrate. Owing to the excellent crystal quality of GeSn layer and a high-quality n+/p junction, a record-high electron mobility of 271 cm2/Vs and a room-temperature near-infrared electroluminescence (EL) were achieved. The present technology will offer an ideal platform for future GeSn-based optoelectronic integration.

    DOI

    Scopus

    5
    被引用数
    (Scopus)
  • Design and control of interface reaction between Al-based dielectrics and AlGaN layer in AlGaN/GaN metal-oxide-semiconductor structures

    Kenta Watanabe, Mikito Nozaki, Takahiro Yamada, Satoshi Nakazawa, Yoshiharu Anda, Masahiro Ishida, Tetsuzo Ueda, Akitaka Yoshigoe, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Letters   111 ( 4 )  2017年07月  [査読有り]

    DOI

    Scopus

    16
    被引用数
    (Scopus)
  • MOS Interface Engineering for Advanced SiC and GaN Power Devices

    T. Hosoi, T. Shimura, H. Watanabe

       2017年07月  [査読有り]  [招待有り]

  • Improved interface properties of GaN-based metal-oxide-semiconductor devices with thin Ga-oxide interlayers

    Takahiro Yamada, Joyo Ito, Ryohei Asahara, Kenta Watanabe, Mikito Nozaki, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Letters   110 ( 26 )  2017年06月  [査読有り]

    DOI

    Scopus

    54
    被引用数
    (Scopus)
  • Enhancement-Mode N-Channel TFT and Room-Temperature Near-Infrared Emission Based on n+/p Junction in Single-Crystalline GeSn on Transparent Substrate

    H. Oka, M. Koyama, T. Hosoi, T. Shimura, H. Watanabe

       2017年06月  [査読有り]

  • Structure and Surface Morphology of Thermal SiO2 Grown on 4H-SiC by Metal-Enhanced Oxidation Using Barium

    Atthawut Chanthaphan, Yoshihito Katsu, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Materials Science Forum    2017年05月  [査読有り]

    DOI

    Scopus

    7
    被引用数
    (Scopus)
  • Ultrahigh Temperature Oxidation of 4H-SiC(0001) and an Impact of Cooling Process on SiO2/SiC Interface Properties

    Takuji Hosoi, Daisuke Nagai, Mitsuru Sometani, Takayoshi Shimura, Manabu Takei, Heiji Watanabe

       2017年05月  [査読有り]

    DOI

    Scopus

    3
    被引用数
    (Scopus)
  • High-mobility TFT and enhanced luminescence utilizing nucleation-controlled GeSn growth on transparent substrate for monolithic optoelectronic integration

    H. Oka, M. Koyama, T. Tomita, T. Amamoto, K. Tominaga, S. Tanaka, T. Hosoi, T. Shimura, H. Watanabe

    Technical Digest - International Electron Devices Meeting, IEDM     22.1.1 - 22.1.4  2017年01月  [査読有り]

     概要を見る

    Record-high mobility Ge-based TFT (μfe: 423 cm2/Vs) and significant enhancement of near-infrared (NIR) luminescence (×54 Ge bulk) were demonstrated with single-crystalline GeSn layer on transparent substrate grown by a novel liquid-phase crystallization technique. Our GeSn growth scheme is fully compatible with the conventional CMOS process and can provide high-quality tensile-strained p- and n-type GeSn layers, thus paving the way for monolithic optoelectronic integration available not only for optical communications but also for NIR imaging and biochemical sensing with wide wavelength range.

    DOI

    Scopus

    4
    被引用数
    (Scopus)
  • Comprehensive study on initial thermal oxidation of GaN(0001) surface and subsequent oxide growth in dry oxygen ambient

    T. Yamada, J. Ito, R. Asahara, K. Watanabe, M. Nozaki, S. Nakazawa, Y. Anda, M. Ishida, T. Ueda, A. Yoshigoe, T. Hosoi, T. Shimura, H. Watanabe

    Journal of Applied Physics   121 ( 3 )  2017年01月  [査読有り]

    DOI

    Scopus

    73
    被引用数
    (Scopus)
  • Fabrication of tensile-strained single-crystalline GeSn on transparent substrate by nucleation-controlled liquid-phase crystallization

    H. Oka, T. Amamoto, M. Koyama, Y. Imai, S. Kimura, T. Hosoi, T. Shimura, H. Watanabe

    Applied Physics Letters   110 ( 3 )  2017年01月  [査読有り]

    DOI

    Scopus

    16
    被引用数
    (Scopus)
  • Ultrahigh-temperature oxidation of 4H-SiC(0001) and an impact of cooling process on SiO2/SiC interface properties

    Takuji Hosoi, Daisuke Nagai, Mitsuru Sometani, Takayoshi Shimura, Manabu Takei, Heiji Watanabe

    Materials Science Forum   897   323 - 326  2017年  [査読有り]

     概要を見る

    This paper reviews our recent work on ultrahigh-temperature oxidation of 4H-SiC(0001) surfaces. Our rapid thermal oxidation experiments demonstrated the reaction-limited linear growth at temperatures ranging from 1200 to 1600°C. The Arrhenius plot of linear growth rate of thermal oxidation can be fitted by a linear line, and the activation energy of oxide growth in dry O2 oxidation was estimated to be 2.9 eV. We also found that unintentional oxidation during the cooling down process severely degrades SiO2/SiC interface properties, resulting in positive flatband voltage shift (VFB) and hysteresis in capacitance-voltage (C-V) characteristics regardless of oxidation temperature. By effectively suppressing oxide growth during the cooling process, we have clarified that SiO2/SiC interface properties depend on oxidation temperature and the lowest interface state density was obtained for the oxide formed at 1450°C.

    DOI

    Scopus

    3
    被引用数
    (Scopus)
  • Structure and surface morphology of thermal SiO2 grown on 4H-SiC by metal-enhanced oxidation using barium

    Atthawut Chanthaphan, Yoshihito Katsu, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Materials Science Forum   897   340 - 343  2017年  [査読有り]

     概要を見る

    Surface morphology and electrical properties of silicon dioxide (SiO2) on 4H-SiC substrates formed by metal-enhanced oxidation (MEO) using barium (Ba) atoms were systematically investigated. It was found that severe surface roughening caused by Ba-MEO can be suppressed by using SiO2 capping prior to MEO. The Ba atoms at the SiO2/SiC interface were found to diffuse to the oxide surface through the deposited SiO2 capping layer, and then the Ba density reduced to ~1014 cm-2 before stable MEO. The resulting SiO2/SiC interface showed the reduced interface state density but the insulating property of the oxides was significantly degraded.

    DOI

    Scopus

    7
    被引用数
    (Scopus)
  • Reliability-aware design of metal/high-k gate stack for high-performance SiC power MOSFET

    Takuji Hosoi, Shuji Azumo, Yusaku Kashiwagi, Shigetoshi Hosaka, Kenji Yamamoto, Masatoshi Aketa, Hirokazu Asahara, Takashi Nakamura, Tsunenobu Kimoto, Takayoshi Shimura, Heiji Watanabe

    Proceedings of the International Symposium on Power Semiconductor Devices and ICs     247 - 250  2017年  [査読有り]

     概要を見る

    Advanced metal/high-k gate stack technology for SiC-based power MOSFET was demonstrated. We found that the Hf incorporation into aluminum oxynitride (HfAlON gate insulator) combined with TIN electrode effectively improves the stability of threshold voltage under both negative and positive bias temperature stresses. Since the relative permittivity of HfAlON increases with increasing Hf content, peak transconductance enhancement up to 3.4 times with acceptable reliability margin was achieved in the state-of-the-art trench MOSFET by implementing TiN/HfA10N(Hf50%) gate stack.

    DOI

    Scopus

    14
    被引用数
    (Scopus)
  • Insight into metal-enhanced oxidation using barium on 4H-SiC surfaces

    A. Chanthaphan, Y. Katsu, T. Hosoi, T. Shimura, H. Watanabe

    Japanese Journal of Applied Physics   55 ( 12 )  2016年11月  [査読有り]

    DOI

    Scopus

    13
    被引用数
    (Scopus)
  • Ultrahigh-temperature rapid thermal oxidation of 4H-SiC(0001) surfaces and oxidation temperature dependence of SiO2/SiC interface properties

    T. Hosoi, D. Nagai, M. Sometani, Y. Katsu, H. Takeda, T. Shimura, M. Takei, H. Watanabe

    Applied Physics Letters   109 ( 18 )  2016年11月  [査読有り]

    DOI

    Scopus

    43
    被引用数
    (Scopus)
  • SiO2/GaN 構造の熱酸化処理による極薄GaOx 界面層形成とMOS 界面特性向上

    山田 高寛, 渡邉 健太, 野崎 幹人, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司

       2016年11月  [査読有り]

  • AlGaN/GaN MOS-HFET におけるAl 系ゲート絶縁膜の界面反応制御

    渡邉 健太, 野崎 幹人, 山田 高寛, 中澤 敏志, 按田 義治, 石田 昌宏, 上田 哲三, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司

       2016年11月  [査読有り]

  • SiO2/SiC 界面窒化処理を施したSiC-MOS デバイスにおける正孔捕獲挙動に関する考察

    細井 卓治, 勝 義仁, Atthawut Chanthaphan, 志村 考功, 渡部 平司

       2016年11月  [査読有り]

  • 先進パワーデバイスにおける新規ゲート絶縁膜開発-SiC 及びGaN 基板上MOS 構造形成技術の類似点と相違点-

    渡部 平司, 志村 考功, 細井 卓治

       2016年11月  [査読有り]  [招待有り]

  • 超高温・低酸素分圧ゲート酸化で作製したSiC-MOSFET の電気特性評価

    勝 義仁, 辻 英徳, 細井 卓治, 志村 考功, 渡部 平司

    先進パワー半導体分科会 第5回講演会 予稿集     225 - 226  2016年11月  [査読有り]

  • Synchrotron radiation X-ray photoelectron spectroscopy of Ti/Al ohmic contacts to n-type GaN: Key role of Al capping layers in interface scavenging reactions

    M. Nozaki, J. Ito, R. Asahara, S. Nakazawa, M. Ishida, T. Ueda, A. Yoshigoe, T. Hosoi, T. Shimura, H. Watanabe

      9 ( 10 )  2016年09月  [査読有り]

    DOI

    Scopus

    6
    被引用数
    (Scopus)
  • Effect of nitrogen incorporation into Al-based gate insulators in AlON/AlGaN/GaN metal–oxide–semiconductor structures

    R. Asahara, M. Nozaki, T. Yamada, J. Ito, S. Nakazawa, M. shida, T. Ueda, A. Yoshigoe, T. Hosoi, T. Shimura, H. Watanabe

    Applied Physics Express   9 ( 10 )  2016年09月  [査読有り]

    DOI

    Scopus

    45
    被引用数
    (Scopus)
  • Impact of Rapid Cooling Process in Ultra-high-temperature Oxidation of 4H-SiC(0001)

    M. Sometani, D. Nagai, T. Hosoi, T. Shimura, Y. Yonezawa, M. Takei, H. Watanabe

    2016 International Conference on Solid State Devices and Materials (SSDM 2011)   56 ( 4 )  2016年09月  [査読有り]

    DOI

    Scopus

    8
    被引用数
    (Scopus)
  • Gate Stack Technology for Advanced AlGaN/GaN Mos-Hemt Power Devices

    H. Watanabe, R. Asahara, J. Ito, K. Watanabe, M. Nozaki, T. Yamada, S. Nakazawa, Y. Anda, M. Ishida, T. Ueda, A. Yoshigoe, T. Hosoi, T. Shimura

       2016年09月  [査読有り]  [招待有り]

  • SiO2/SiC界面への異種元素添加による界面準位低減とその留意点

    細井 卓治, A. Chanthaphan, 勝 義仁, 志村 孝功, 渡部 平司

       2016年08月  [査読有り]

  • Analysis of X-ray diffraction curves of trapezoidal Si nanowires with a strain distribution

    T. Takeuchi a, K. Tatsumura, T. Shimura, I. Ohdomari

    Thin Solid Films   612   116 - 121  2016年06月  [査読有り]

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • 7.2 High-mobility GeSn p-MOSFETs on Transparent Substrate Utilizing Nucleation-controlled Liquid-phase Crystallization

    H. Oka, T. Amamoto, T. Hosoi, T. Shimura, H. Watanabe

        128 - 129  2016年06月  [査読有り]

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Development of a compact compression test stage for synchrotron radiation micro-Laue diffraction measurements of long-period stacking-ordered phases in Mg–Zn–Y alloys

    S. Kimura, K. Kajiwara, T. Shimura

    Japanese Journal of Applied Physics   55 ( 3 ) 038002-1 - 038002-3  2016年01月  [査読有り]

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • トップダウン加工によるGeへの引張歪み印加とバンドギャップ変調

    田中 章吾, 岡 博史, 天本 隆史, 冨永 幸平, 小山 真広, 細井 卓治, 志村 考功, 渡部 平司

       2016年01月  [査読有り]

  • GaN熱酸化における酸化物形成過程

    伊藤 丈予, 淺原 亮平, 野崎 幹人, 山田 高寛, 中澤 敏志, 石田 昌宏, 上田 哲三, パナソニック, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司

       2016年01月  [査読有り]

  • 横方向液相エピタキシャル成長法によって作製したGeSn-on-insulatorの電気特性評価

    冨永 幸平, 岡 博史, 天本 隆史, 細井 卓治, 志村 考功, 渡部 平司

       2016年01月  [査読有り]

  • 局所急速加熱による自己核形成を利用した石英基板上GeSn単結晶成長

    岡 博史, 天本 隆史, 小山 真広, 冨永 幸平, 細井 卓治, 志村 考功, 渡部 平司

       2016年01月  [査読有り]

  • Improvement of SiO2/4H-SiC interface quality by post-oxidation annealing in N2 at high-temperatures

    Atthawut Chanthaphan, Yen Hung Cheng, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Materials Science Forum   858   627 - 630  2016年  [査読有り]

     概要を見る

    The efficient and practical method for SiO2/4H-SiC interface improvement using post-oxidation annealing (POA) in pure N2 ambient was studied by means of x-ray photoelectron spectroscopy (XPS) analysis and electrical characterization. SiC-MOS capacitors with slope-shaped thermal oxides were used to investigate optimal conditions for interface nitridation. It was found that the amount of nitrogen atoms incorporated into the interfaces increased when raised the annealing temperature up to 1400�C, and thin oxide (&lt
    30 nm) was used. Furthermore, N2-POA at 1400�C was proven to be very promising as equivalent to NO-POA in terms of reduced interface state density of SiC-MOS devices.

    DOI

    Scopus

    3
    被引用数
    (Scopus)
  • Cathodoluminescence study of SiO2/4H-SiC structures treated with high-temperature post-oxidation annealing

    Atthawut Chanthaphan, Yuta Fukushima, Kenji Yamamoto, Masatoshi Aketa, Hirokazu Asahara, Takashi Nakamura, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Materials Science Forum   858   445 - 448  2016年  [査読有り]

     概要を見る

    The radiative defect centers in thermally-grown SiO2/4H-SiC structures with high-temperature post-oxidation annealing (POA) in various ambient gas, i.e. Ar, H2, and NOx, were examined using cathodoluminescence (CL) measurement. It was found that radiative centers with an extremely high luminescent efficiency were remained at the SiO2/SiC interfaces after Ar-POA and FGA. Thus, these defect centers are very stable against high-temperature annealing and reducing ambient. In contrast, NOx-POA significantly reduced amounts of the radiative defects that might be related to channel mobility improvement in SiC-MOSFETs.

    DOI

    Scopus

  • Impact of NO annealing on flatband voltage instability due to charge trapping in SiC MOS devices

    Yoshihito Katsu, Takuji Hosoi, Yuichiro Nanen, Tsunenobu Kimoto, Takayoshi Shimura, Heiji Watanabe

    Materials Science Forum   858   599 - 602  2016年  [査読有り]

     概要を見る

    We evaluated the effect of NO annealing on hole trapping characteristic of SiC metal-oxide-semiconductor (MOS) capacitor by measuring flatband voltage (VFB) shifts during a constant negative gate voltage stress under UV illumination. Under low stress voltages, the VFB shift due to hole trapping was found to be suppressed by NO annealing. However, the VFB shift of the NO-annealed device increases significantly with stress time under high stress voltage conditions, while the device without NO annealing showed only a slight shift. This result implies that NO annealing enhances generation of hole traps, leading to the degradation of SiC-MOS devices in long-term reliability.

    DOI

    Scopus

    31
    被引用数
    (Scopus)
  • Flatband voltage shift depending on SiO2/SiC interface charges in 4H-SiC MOS capacitors with ALON/SiO2 stacked gate dielectrics

    Takuji Hosoi, Shuji Azumo, Kenji Yamamoto, Masatoshi Aketa, Yusaku Kashiwagi, Shigetoshi Hosaka, Hirokazu Asahara, Takashi Nakamura, Takayoshi Shimura, Heiji Watanabe

    Materials Science Forum   858   681 - 684  2016年  [査読有り]

     概要を見る

    The mechanism of flatband voltage shift in SiC metal-oxide-semiconductor (MOS) capacitors with stacked gate dielectrics consisting of aluminum oxynitride (AlON) layers and SiO2 underlayers was investigated by varying the AlON and SiO2 thicknesses. The flatband voltages of the fabricated capacitors with fixed SiO2 underlayer thicknesses were almost independent of the AlON thickness, indicating the negligible charges in AlON layer. On the other hand, when varying SiO2 underlayer thickness, the flatband voltage decreased with an increase in capacitance equivalent thickness (CET), and the slope of their linear fit was comparable to that for SiC MOS capacitors without AlON layer. These observations can be well explained by assuming interface charges at AlON/SiO2 interface with an amount comparable, but a polarity opposite, to those at SiO2/SiC interface.

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • Enhancement of photoluminescence from n-type tensile-strained GeSn wires on an insulator fabricated by lateral liquid-phase epitaxy

    T. Shimura, M. Matsue, K. Tominaga, K. Kajimura, T. Amamoto, T. Hosoi, H. Watanabe

    Applied Physics Letters   107 ( 22 )  2015年12月  [査読有り]

    DOI

    Scopus

    15
    被引用数
    (Scopus)
  • Insights into thermal diffusion of germanium and oxygen atoms in HfO2/GeO2/Ge gate stacks and their suppressed reaction with atomically thin AlOx interlayers

    S. Ogawa, R. Asahara, Y. Minoura, H. Sako, N. Kawasaki, I. Yamada, T. Miyamoto, T. Hosoi, T. Shimura, H. Watanabe

    Journal of Applied Physics   118 ( 23 ) 23704-1 - 23704-5  2015年12月  [査読有り]

    DOI

    Scopus

    18
    被引用数
    (Scopus)
  • Schottky source/drain germanium-based metal-oxide-semiconductor field-effect transistors with self-aligned NiGe/Ge junction and aggressively scaled high-k gate stack

    T. Hosoi, Y. Minoura, R. Asahara, H. Oka, T. Shimura, H. Watanabe

    Applied Physics Letters   107 ( 25 ) 252104-1 - 252104-5  2015年12月  [査読有り]

    DOI

    Scopus

    6
    被引用数
    (Scopus)
  • Effect of Nitrogen Incorporation into Al-based Gate Insulator in AlGaN/GaN MOS-HEMT

    R. Asahara, M. Nozaki, T. Yamada, J. Ito, S. Nakazawa, M. Ishida, T. Ueda, A. Yoshigoe, T. Hosoi, T. Shimura, H. Watanabe

       2015年12月  [査読有り]

  • SiO2/SiC Interface Nitridation by High Temperature Pure Nitrogen Annealing

    T. Hosoi, A. Chanthaphan, T. Shimura, H. Watanabe

       2015年12月  [査読有り]

  • Engineering of NiGe/Ge Junction by P Ion Implantation after Germanidation for Metal S/D Ge CMOS Technology

    H. Oka, Y. Minoura, R. Asahara, T. Hosoi, T. Shimura, H. Watanabe

    Abstracts, 45th IEEE Semiconductor Interface Specialists Conference    2015年12月  [査読有り]  [招待有り]

  • Design and demonstration of phase gratings for 2D single grating interferometer

    N. Morimoto, S. Fujino, Y. Ito, A. Yamazaki, I. Sano, T. Hosoi, H. Watanabe, T. Shimura

    Optics Express   23 ( 23 ) 29399 - 29412  2015年11月  [査読有り]

    DOI

    Scopus

    14
    被引用数
    (Scopus)
  • The Impact of Energy Barrier Height on Border Traps in III-V Gate Stacks

    S. Yoshida, S. Taniguchi, H. Minari, D. Lin, Ts. Ivanov, H. Watanabe, M. Nakazawa, N. Collaert, A. Thean

       2015年11月  [査読有り]

  • Investigation of Initial Oxide Growth on GaN Epitaxial Films

    T. Yamada, J. Ito, R. Asahara, M. Nozaki, S. Nakazawa, M. Ishida, T. Ueda, A. Yoshigoe, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of 2015 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (2015 IWDTF)    2015年11月  [査読有り]

  • Study of SiO2/4H-SiC interface nitridation by post-oxidation annealing in pure nitrogen gas

    A. Chanthaphan, T. Hosoi, T. Shimura, H. Watanabe

    AIP Advances   5 ( 9 )  2015年09月  [査読有り]

    DOI

    Scopus

    45
    被引用数
    (Scopus)
  • X-ray Talbot-Lau interferometer using lanthanum targets embedded in diamond substrates

    A. Yamazaki, N. Morimoto, S. Fujino, Y. Ito, I. Sano, T. Hosoi, H. Watanabe, T. Shimura

       2015年09月  [査読有り]

  • 2D x-ray single grating interferometry with embedded metal targets

    N. Morimoto, S. Fujino, Y. Ito, A. Yamazaki, I. Sano, T. Hosoi, H. Watanabe, T. Shimura

       2015年09月  [査読有り]

  • Development of single transmission grating Talbot-Lau interferometer with embedded tungsten targets for 30 keV x rays

    Y. Ito, N. Morimoto, S. Fujino, A. Yamazaki, I. Sano, T. Hosoi, H. Watanabe, T. Shimura

       2015年09月  [査読有り]

  • Synchrotron Radiation X-Ray Photoelectron Spectroscopy Study of Interface Reactions in Al/Ti/GaN Ohmic Contacts

    M. Nozaki, J. Ito, R. Asahara, S. Nakazawa, M. Ishida, T. Ueda, A. Yoshigoe, Y. Teraoka, T. Hosoi, T. Shimura, H. Watanabe

       2015年09月  [査読有り]

  • Exact evaluation of interface-reaction-limited growth in dry and wet thermal oxidation of 4H-SiC(0001) Si-face surfaces

    T. Hosoi, D. Nagai, T. Shimura, H. Watanabe

    Japanese Journal of Applied Physics   54 ( 9 )  2015年08月  [査読有り]

    DOI

    Scopus

    11
    被引用数
    (Scopus)
  • Comprehensive study and design of scaled metal/high-k/Ge gate stacks with ultrathin aluminum oxide interlayers

    R. Asahara, I. Hideshima, H. Oka, Y. Minoura, S. Ogawa, A. Yoshigoe, Y. Teraoka, T. Hosoi, T. Shimura, H. Watanabe

    Appl. Phys. Lett.   106 ( 23 )  2015年06月  [査読有り]

    DOI

    Scopus

    20
    被引用数
    (Scopus)
  • Cathodoluminescence study of radiative interface defects in thermally grown SiO2/4HSiC(0001) structures

    Y. Fukushima, A. Chanthaphan, T. Hosoi, T. Shimura, H. Watanabe

    Appl. Phys. Lett.   106 ( 26 )  2015年06月  [査読有り]

    DOI

    Scopus

    6
    被引用数
    (Scopus)
  • Two dimensional x-ray phase imaging using single grating interferometer with embedded x-ray targets

    N. Morimoto, S. Fujino, A. Yamazaki, Y. Ito, T. Hosoi, H. Watanabe, T. Shimura

    Optics Express   23 ( 13 ) 16582 - 16588  2015年06月  [査読有り]

    DOI

    Scopus

    26
    被引用数
    (Scopus)
  • Schottky barrier height modulation at NiGe/Ge interface by phosphorous ion implantation and its application to Ge-based CMOS devices

    T. Hosoi, H. Oka, Y. Minoura, T. Shimura, H. Watanabe

    The 15th International Workshop on Junction Technology (IWJT2015)    2015年06月  [査読有り]

  • Selective detection and recovery of gold at tannin-immobilized non-conducting electrode

    K. Banu, T. Shimura, S. Sadeghi

    Analytica Chimica Acta   853   207 - 213  2015年01月  [査読有り]

    DOI

    Scopus

    14
    被引用数
    (Scopus)
  • Understanding of Bias-Temperature Instability due to Mobile Ions in SiC Metal-Oxide-Semiconductor Devices

    A. Chanthaphan, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, H. Watanabe

    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第20回研究会)    2015年01月  [査読有り]

  • Fabrication of high-quality Ge-on-insulator structures by lateral liquid phase epitaxy

    T. Shimura, Y. Suzuki, M. Matsue, K. Kajimura, K. Tominaga, T. Amamoto, T. Hosoi, H. Watanabe

    ECS Transactions   69 ( 5 ) 305 - 311  2015年  [査読有り]  [招待有り]

     概要を見る

    Back-gate Ge-on-insulator metal-oxide-semiconductor field-effect transistors were fabricated using Ge wires grown by lateral liquid phase epitaxy. They exhibited a very high peak hole mobility of 511 cm2/Vs and an on/off current ratio of 106 due to the superior crystalline quality of the Ge wires. The optical properties of the Ge wires were also investigated by micro-photoluminescence spectroscopy, and a direct band gap shrinkage of 45 meV was observed due to the introduction of 0.4% tensile strain.

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • 横方向液相エピタキシャル成長によって作製した絶縁体上GeSnワイヤのフォトルミネッセンス測定によるバンドギャップ変調技術

    天本 隆史, 冨永 幸平, 梶村 恵子, 松江 将博, 細井 卓治, 志村 孝功, 渡部 平司

    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第20回研究会)    2015年01月  [査読有り]

  • カソードルミネッセンス法による熱酸化SiO2/SiC界面欠陥の検出

    福島 悠太, アラン フルカン, 樋口 直樹, チャンタパン アタウット, 細井 卓治, 志村 考功, 渡部 平司

    先進パワー半導体分科会 第1回講演会予稿集    2014年11月  [査読有り]

  • 4H-SiC(0001)面の熱酸化における酸化種と酸化速度の関係

    永井 大介, 福島 悠太, 勝 義仁, 細井 卓治, 志村 考功, 渡部 平司

    先進パワー半導体分科会 第1回講演会予稿集    2014年11月  [査読有り]

  • Mobility characterization of Ge-on-insulator metal-oxide-semiconductor field-effect transistors with striped Ge channels fabricated by lateral liquid-phase epitaxy

    T. Hosoi, Y. Suzuki, T. Shimura, H. Watanabe

    Appl. Phys. Lett.   105 ( 17 ) 173502-1 - 173502-4  2014年10月  [査読有り]

    DOI

    Scopus

    35
    被引用数
    (Scopus)
  • Synchrotron radiation photoemission spectroscopy study of SiO2/4H-SiC(0001) interfaces with NO annealing

    T. Hosoi, Y. Nanen, T. Kimoto, A. Yoshigoe, Y. Teraoka, T. Shimura, H. Watanabe

    10th European Conference on Silicon Carbide & Related Materials (ECSCRM-2014)    2014年09月  [査読有り]

  • Understanding and engineering of NiGe/Ge junction formed by phosphorous ion implantation after germanidation

    H. Oka, Y. Minoura, T. Hosoi, T. Shimura, H. Watanabe

    Appl. Phys. Lett.   105 ( 6 ) 062107-1 - 062107-4  2014年08月  [査読有り]

    DOI

    Scopus

    5
    被引用数
    (Scopus)
  • X-ray Phase Contrast Imaging with a Single Grating Talbot-Lau Interferometer

    N. Morimoto, S. Fujino, K. Ohshima, J. Harada, T. Hosoi, H. Watanabe, T. Shimura

    International Union of Materials Research Societies- The 15th IUMRS International Conference in Asia 2014(IUMRS-ICA 2014)    2014年08月  [査読有り]

  • X-ray phase contrast imaging by compact Talbot–Lau interferometer with a single transmission grating

    N. Morimoto, S. Fujino, K. Ohshima, J. Harada, T. Hosoi, H. Watanabe, T. Shimura

    Optics Letters   39 ( 15 ) 4297 - 4300  2014年07月  [査読有り]

    DOI

    Scopus

    44
    被引用数
    (Scopus)
  • Schottky Barrier Height Reduction of NiGe/Ge Junction by P Ion Implantation for Metal Source/Drain Ge CMOS Devices

    H. Oka, Y. Minoura, T. Hosoi, T. Shimura, H. Watanabe

    The 2014 International Meeting for Future of Electron Devices, Kansai    2014年06月  [査読有り]

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Sub-1-nm EOT Schottky Source/Drain Germanium CMOS Technology with Low-temperature Self-aligned NiGe/Ge Junctions

    T. Hosoi, Y. Minoura, R. Asahara, H. Oka, T. Shimura, H. Watanabe

    2014 IEEE Silicon Nanoelectronics Workshop (SNW)    2014年06月  [査読有り]

    DOI

    Scopus

  • 極薄EOT high-k/Geゲートスタックの熱安定性及び界面特性改善に向けたプロセス設計

    淺原 亮平, 細井 卓治, 志村 考功, 渡部 平司

    電子情報通信学会 シリコン材料・デバイス(SDM)研究会, 信学技報   114 ( 88 ) 1 - 5  2014年06月  [査読有り]

  • Improved bias-temperature instability characteristics in SiC metal-oxide-semiconductor devices with aluminum oxynitride dielectrics

    A. Chanthaphan, T. Hosoi, Y. Nakano, T. Nakamura, T. Shimura, H. Watanabe

    Appl. Phys. Lett.   104 ( 12 ) 122105-1 - 122105-5  2014年03月  [査読有り]

    DOI

    Scopus

    19
    被引用数
    (Scopus)
  • Development of Multiline Embedded X-ray Targets for Compact Talbot-Lau X-ray Interferometer

    N. Morimoto, S. Fujino, K. Ohshima, J. Harada, T. Hosoi, H. Watanabe, T. Shimura

    Program & Abstracts of International Workshop on Atomically Controlled Fabrication Technology    2014年02月  [査読有り]

  • Bias-temperature instability of SiC-MOS devices induced by unusual generation of mobile ions in thermal oxides

    A. Chanthaphan, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, H. Watanabe

    Program & Abstracts of International Workshop on Atomically Controlled Fabrication Technology    2014年02月  [査読有り]

  • Insights into ultraviolet-induced electrical degradation of thermally grown SiO2/4H-SiC(0001) interface

    D. Ikeguchi, T. Hosoi, Y. Nakano, T. Nakamura, T. Shimura, H. Watanabe

    Appl. Phys. Lett.   104 ( 1 ) 012107-1 - 012107-4  2014年01月  [査読有り]

    DOI

    Scopus

    15
    被引用数
    (Scopus)
  • Strain-induced direct band gap shrinkage in local Ge-on-insulator structures fabricated by lateral liquid-phase epitaxy

    M. Matsue, Y. Yasutake, S. Fukatsu, T. Hosoi, T. Shimura, H. Watanabe

    Appl. Phys. Lett.   104 ( 3 ) 031106-1 - 031106-4  2014年01月  [査読有り]

    DOI

    Scopus

    20
    被引用数
    (Scopus)
  • X-ray phase contrast imaging by compact Talbot-Lau interferometer without absorption grating

    N. Morimoto, S. Fujino, K. Ohshima, J. Harada, T. Hosoi, H. Watanabe, T. Shimura

    International Workshop on X-ray and Neutron Phase Imaging with Gratings (XNPIG2014)    2014年01月  [査読有り]

  • NiGe/Ge接合へのP添加によるn型Ge基板上オーミックコンタクトの形成

    岡 博史, 箕浦 佑也, 細井 卓治, 松垣 仁, 黒木 伸一郎, 志村 考功, 渡部 平司

    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第19回研究会)    2014年01月  [査読有り]

  • 極限EOT実現に向けた極薄AlOx層によるHigh-k/Ge ゲートスタック界面制御

    田中 亮平, 秀島 伊織, 箕浦 佑也, 吉越 章隆, 寺岡 有殿, 細井 卓治, 志村 考功, 渡部 平司

    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第19回研究会)    2014年01月  [査読有り]

  • Design of compact Talbot-Lau interferometer with embedded X-ray targets disregarding Talbot distance

    S. Fujino, N. Morimoto, K. Ohshima, J. Harada, T. Hosoi, H. Watanabe, T. Shimura

    International Workshop on X-ray and Neutron Phase Imaging with Gratings (XNPIG2014)    2014年01月  [査読有り]

  • HfO2絶縁膜を用いたSiC-MOS界面設計

    樋口 直樹, 福島 悠太, 細井 卓治, 志村 考功, 渡部 平司

    SiC及び関連半導体研究 第22回講演会予稿集    2013年12月  [査読有り]

  • AlON/SiO2積層ゲート絶縁膜によるSiC MOSデバイスのBTI特性改善

    Chanthaphan Atthawut, 中野 佑紀, 中村 孝, 細井 卓治, 志村 考功, 渡部 平司

    SiC及び関連半導体研究 第22回講演会予稿集    2013年12月  [査読有り]

  • Enhanced direct bandgap photoluminescence from local Ge-on-insulator structures fabricated by lateral liquid-phase epitaxy –Material and strain engineering toward CMOS compatible group-Ⅳ photonics-

    M. Matsue, Y. Yasutake, S. Fukatsu, T. Hosoi, T. Shimura, H. Watanabe

    Abstracts, 44th IEEE Semiconductor Interface Specialists Conference    2013年12月  [査読有り]

  • Electrical and physical properties of SiO2 gate dielectrics grown on 4H-SiC (Invited)

    T. Hosoi, Y. Uenishi, A. Chanthaphan, D. Ikeguchi, Y. Nakano, T. Nakamura, T. Shimura, H. Watanabe

    The 8th international conference on advanced materials upon the proven concept and continues the tradition of its seven predecessors (THERMEC2013)    2013年12月  [査読有り]  [招待有り]

  • Phosphorous Ion Implantation into NiGe Layer for Ohmic Contact Formation on n-Ge

    Y. Minoura, T. Hosoi, J. Matsugaki, S. Kuroki, T. Shimura, H. Watanabe

    Extended Abstracts of 2013 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2013)   53 ( 8 ) 55 - 59  2013年11月  [査読有り]

    DOI

    Scopus

    6
    被引用数
    (Scopus)
  • Effective Hole Mobility of GOI MOSFET Fabricated by Lateral Liquid-Phase Epitaxiay

    T. Hosoi, Y. Suzuki, H. Nishikawa, M. Matsue, T. Shimura, H. Watanabe

    Extended Abstracts of 2013 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2013)    2013年11月  [査読有り]

  • Degradation of SiO2/SiC Interface Properties due to Mobile Ions Intrinsically Generated by High-Temperature Hydrogen Annealing

    A. Chanthaphan, T. Hosoi, Y. Nakano, T. Nakamura, T. Shimura, H. Watanabe

    International Conference on Silicon Carbide and Related Materials 2013 (ICSCRM2013)   778-780   541 - +  2013年10月  [査読有り]

    DOI

    Scopus

    3
    被引用数
    (Scopus)
  • Retarded Oxide Growth on 4H-SiC(0001) Substrates Due to Sacrificial Oxidation

    T. Hosoi, Y. Uenishi, Y. Nakano, T. Nakamura, T. Shimura, H. Watanabe

    International Conference on Silicon Carbide and Related Materials 2013 (ICSCRM2013)   778-780   562 - +  2013年10月  [査読有り]

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Suppression of Mobile Ion Diffusion with AlON/SiO2 Stacked Gate Dielectrics for Improving Bias-Temperature Instability in SiC-MOS Devices

    A. Chanthaphan, T. Hosoi, Y. Nakano, T. Nakamura, T. Shimura, H. Watanabe

    International Conference on Silicon Carbide and Related Materials 2013 (ICSCRM2013)    2013年10月  [査読有り]

  • Design and contro of Ge-based metal-oxide-semiconductor interfaces for high-mobility field-effect transistors with ultrathin oxynitride gate dielectrics

    Y. Minoura, A. Kasuya, T. Hosoi, T. Shimura, H. Watanabe

    Appl. Phys. Lett.   103 ( 3 )  2013年07月  [査読有り]

    DOI

    Scopus

    25
    被引用数
    (Scopus)
  • 山元 隆志, 小川 慎吾, 細井 卓治, 志村 考巧, 渡部 平司

    メタルゲート/High-k ゲート絶縁膜の界面構造解析

    第77回半導体・集積回路技術シンポジウム    2013年07月  [査読有り]

  • SiCパワーMOSFET向け高誘電率ゲート絶縁膜技術 (依頼講演)

    細井 卓治, 東雲 秀司, 柏木 勇作, 保坂 重敏, 中村 亮太, 中野 佑紀, 浅原 浩和, 中村 孝, 木本 恒暢, 志村 考功, 渡部 平司

    電子情報通信学会 シリコン材料・デバイス(SDM)研究会(応用物理学会、シリコンテクノロジー分科会との合同開催)    2013年06月  [査読有り]  [招待有り]

  • 熱酸化SiC-MOSデバイス中の可動イオンの異常生成と特性改善技術 (依頼講演)

    渡部 平司, チャンタパン アタウット, 中野 佑紀, 中村 孝, 細井 卓治, 志村 考功

    電子情報通信学会 シリコン材料・デバイス(SDM)研究会(応用物理学会、シリコンテクノロジー分科会との合同開催)    2013年06月  [査読有り]  [招待有り]

  • Metal/High-k/Geゲートスタックにおけるジャーマナイド形成とその電気特性への影響

    細井 卓治, 秀島 伊織, 箕浦 佑也, 田中 亮平, 吉越 章隆, 寺岡 有殿, 志村 考功, 渡部 平司

    電子情報通信学会 シリコン材料・デバイス(SDM)研究会(応用物理学会、シリコンテクノロジー分科会との合同開催)   113 ( 87 ) 19 - 23  2013年06月  [査読有り]

  • Understanding and controlling bias-temperature instability in SiC metal-oxide-semiconductor devices induced by unusual generation of mobile ions

    A. Chanthaphan, T. Hosoi, Y. Nakano, T. Nakamura, T. Shimura, H. Watanabe

    Appl. Phys. Lett.   102 ( 9 )  2013年03月  [査読有り]

    DOI

    Scopus

    12
    被引用数
    (Scopus)
  • Ge diffusion and bonding state change in metal/high-k/Ge gate stacks and its impact on electrical properties

    T. Hosoi, I. Hideshima, R. Tanaka, Y. Minoura, A. Yoshigoe, Y. Teraoka, T. Shimura, H. Watanabe

    Microelectronic Engineering   109   137 - 141  2013年03月  [査読有り]

    DOI

    Scopus

    12
    被引用数
    (Scopus)
  • Electrical detection of surface plasmon resonance phenomena by a photoelectronic device integrated with gold nanoparticle plasmon antenna

    Tatsuya Hashimoto, Yurie Fukunishi, Bin Zheng, Yukiharu Uraoka, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Applied Physics Letters   102 ( 8 )  2013年02月  [査読有り]

     概要を見る

    We have proposed a concept of a photoelectronic hybrid device utilizing gold nanoparticles (GNPs), which are supposed to function not only as the plasmon antenna but also as the sensing part. The photocurrent in the fabricated device, consisting of a transparent Nb-doped TiO2 channel and Au electrodes, was enhanced more than eight times at a specific wavelength with GNP arrays located between the electrodes, indicating that surface plasmon resonance was electrically detected with the hybrid device. This result will open new doors for ultra-small biosensor chips integrated with multi-functional solid-state devices. © 2013 American Institute of Physics.

    DOI

    Scopus

    12
    被引用数
    (Scopus)
  • Hard x-ray phase contrast imaging using a tabletop Talbot-Lau interferometer with multiline embedded x-ray targets

    Takayoshi Shimura, Naoki Morimoto, Sho Fujino, Takaharu Nagatomi, Keni-Chi Oshima, Jimpei Harada, Kazuhiko Omote, Naohisa Osaka, Takuji Hosoi, Heiji Watanabe

    Optics Letters   38 ( 2 ) 157 - 159  2013年01月  [査読有り]

     概要を見る

    We demonstrate hard x-ray phase contrast imaging (XPCI) using a tabletop Talbot-Lau interferometer in which the x-ray source and source grating are replaced with an x-ray source with multiline metal targets embedded in a diamond substrate. This source realizes an array of linear x-ray sources of a few micrometers width without fabrication difficulty because of the shallow penetration depth of electrons irradiated to the metal targets. This enhances the coherence of x rays from each linear source and allows XPCI within 45 cm source-detector distance under 1.2W input power for 8 keV x rays. © 2013 Optical Society of America.

    DOI

    Scopus

    29
    被引用数
    (Scopus)
  • Dielectric properties of thermally grown SiO2 on 4H-SiC(0001) substrates

    Takuji Hosoi, Yusuke Uenishi, Shuhei Mitani, Yuki Nakano, Takashi Nakamura, Takayoshi Shimura, Heiji Watanabe

    Materials Science Forum   740-742   605 - 608  2013年  [査読有り]

     概要を見る

    The bulk properties of thermally grown SiO2 on 4H-SiC(0001) substrates were thoroughly investigated by capacitance-voltage (C-V) measurement, atomic force microscopy (AFM), spectroscopic ellipsometry (SE), x-ray photoelectron spectroscopy (XPS), and secondary ion mass spectrometry (SIMS). The equivalent oxide thickness (EOT) extracted from the capacitance-voltage (C-V) characteristics of TiN/SiO2 capacitors was proportional to the physical thickness (Tphys), but the slope of the linear fit was found to be 1.11, indicating that the permittivity of SiO2 on 4H-SiC formed by thermal oxidation is only about 3.5, which is lower than the commonly accepted value of 3.9. Since XPS analysis revealed that the oxide of SiC was stoichiometric and the atomic concentration of residual carbons in the oxide measured by SIMS was sufficiently low (1017 cm-3), the low permittivity of thermal oxides of 4H-SiC may originate from the reduced bulk density, which can be predicted by the Clausius-Mossotti relation. © (2013) Trans Tech Publications, Switzerland.

    DOI

    Scopus

    5
    被引用数
    (Scopus)
  • AlONゲート絶縁膜導入によるSiCパワーMOSFETの高性能化及び信頼性向上

    細井 卓治, 東雲 秀司, 柏木 勇作, 保坂 重敏, 中村 亮太, 箕谷 周平, 中野 佑紀, 浅原 浩和, 中村 孝, 木本 恒暢, 志村 考功, 渡部 平司

    電子情報通信学会 シリコン材料・デバイス研究会(SDM)    2013年01月  [査読有り]  [招待有り]

  • SiC熱酸化膜特有の可動イオン生成とその除去

    Atthawut Chanthaphan, 箕谷 周平, 中野 佑紀, 中村 孝, 細井 卓治, 志村 考功, 渡部 平司

    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第18回研究会)    2013年01月  [査読有り]

  • 高移動度Ge CMOSの実現に向けたGeON/Geゲートスタックのプロセス設計

    箕浦 佑也, 糟谷 篤志, 細井 卓治, 志村 考功, 渡部 平司

    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第18回研究会)    2013年01月

  • 横方向液相エピタキシャル成長により作製したGOI MOSFETのキャリア移動度評価

    松江 将博, 鈴木 雄一朗, 西川 弘晃, 細井 卓治, 志村 考功, 渡部 平司

    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第18回研究会)    2013年01月  [査読有り]

  • Gate stack technology for advanced high-mobility Ge-channel metal-oxide-semiconductor devices e Fundamental aspects of germanium oxides and application of plasma nitridation technique for fabrication of scalable oxynitride

    H. Watanabe, K. Kutsuki, A. Kasuya, I. Hideshima, G. Okamoto, S. Saito, T. Ono, T. Hosoi, T. Shimura

    Current Applied Physics   12   S10 - S19  2012年12月  [査読有り]

    DOI

    Scopus

    11
    被引用数
    (Scopus)
  • Effective work function control of metal inserted poly-Si electrodes on HfSiO dielectrics by in-situ oxygen treatment of metal surface

    N. Kitano, K. Chikaraishi, H. Arimura, T. Hosoi, T. Shimura, T. Nakagawa, H. Watanabe

    Current Applied Physics   12   S83 - S86  2012年12月  [査読有り]

    DOI

    Scopus

  • Relationship between interface property and energy band alignment of thermally grown SiO2 on 4H-SiC(0001)

    T. Hosoi, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, H. Watanabe

    Current Applied Physics   12   S79 - S82  2012年12月  [査読有り]

    DOI

    Scopus

    23
    被引用数
    (Scopus)
  • Performance and Reliability Improvement in SiC Power MOSFETs by Implementing AlON High-k Gate Dielectrics

    T. Hosoi, S. Azumo, Y. Kashiwagi, S. Hosaka, R. Nakamura, S. Mitani, Y. Nakano, H. Asahara, T. Nakamura, T. Kimoto, T. Shimura, H. Watanabe

    2012 IEEE International Electron Devices Meeting (IEDM)    2012年12月  [査読有り]

    DOI

    Scopus

    28
    被引用数
    (Scopus)
  • Improvement of Ultrathin GeON/Ge Interface Properties for High-mobility Ge MOSFETs

    I. Hideshima, Y. Minoura, A. Kasuya, T. Hosoi, T. Shimura, H. Watanabe

    8th Handai Nanoscience and nanotechnology International Symposium    2012年12月  [査読有り]

  • Mobile Ions Generated in Thermal SiO2 on SiC by Hydrogen Passivation and Its Impact on Interface Property

    T. Hosoi, A. Chanthaphan, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, H. Watanabe

    The 43rd IEEE Semiconductor Interface Specialists Conference    2012年12月  [査読有り]

  • Implementation of GeON Gate Dielectrics for Dual-Channel Ge CMOS Technology

    Y. Minoura, A. Kasuya, T. Hosoi, T. Shimura, H. Watanabe

    The 43rd IEEE Semiconductor Interface Specialists Conference    2012年12月  [査読有り]

  • Al-inserted TiN Gate Electrodes with Low-Pressure Oxidation for Effective Work Function Control of Gate-First Poly-Si/TiN/HfSiO Stacks

    K. Chikaraishi, T. Minami, N. Kitano, T. Seino, N. Yamaguchi, T. Nakagawa, T. Hosoi, T. Shimura, H. Watanabe

    The 43rd IEEE Semiconductor Interface Specialists Conference    2012年12月  [査読有り]

  • Synchrotron X-ray topography of supercritical-thickness strained silicon-on-insulator wafers for crystalline quality evaluation and electrical characterization using back-gate transistors

    T. Shimura, D. Shimokawa, T. Matsumiya, N. Morimoto, A. Ogura, S. Iida, T. Hosoi, H. Watanabe

    Current Applied Physics   12   S69 - S74  2012年12月  [査読有り]

    DOI

    Scopus

    7
    被引用数
    (Scopus)
  • High-mobility p-channel metal-oxide-semiconductor field-effect transistors on Ge-on-insulator structures formed by lateral liquid-phase epitaxy

    Y. Suzuki, S. Ogiwara, T. Hosoi, T. Shimura, H. Watanabe

    Appl. Phys. Lett.   101 ( 20 )  2012年11月  [査読有り]

    DOI

    Scopus

    17
    被引用数
    (Scopus)
  • Fabrication of Ge-on-insulator structure by lateral liquid-phase epitaxy and its electrical characterization using back-gate transistors

    T. Shimura, Y. Suzuki, S. Ogiwara, T. Hosoi, H. Watanabe

    The 6th International Symposium on Advanced Science and Technology of Silicon Materials    2012年11月  [査読有り]

  • 熱酸化SiO2/SiC構造における紫外線誘起欠陥生成機構の検討

    池口 大輔, 箕谷 周平, 中野 佑紀, 中村 孝, 細井 卓治, 志村 考功, 渡部 平司

    SiC及び関連ワイドギャップ半導体研究会第21回講演会予稿集    2012年11月  [査読有り]

  • SiC熱酸化膜の比誘電率に関する考察

    細井 卓治, 上西 悠介, 箕谷 周平, 中野 佑紀, 中村 孝, 志村 考功, 渡部 平司

    SiC及び関連ワイドギャップ半導体研究会第21回講演会予稿集    2012年11月  [査読有り]

  • バイアス温度ストレス印加を利用した4H-SiC熱酸化膜中の可動イオン除去

    Atthawut Chanthaphan, 箕谷 周平, 中野 佑紀, 中村 孝, 細井 卓治, 志村考功, 渡部 平司

    SiC及び関連ワイドギャップ半導体研究会第21回講演会予稿集    2012年11月  [査読有り]

  • Fabrication of High-quality SiGe-on-Insulator and Ge-on-Insulator Structures by Rapid Melt Growth (Invited)

    T. Shimura, C. Yoshimoto, T. Hashimoto, S. Ogiwara, Y. Suzuki, T. Hosoi, H. Watanabe

    The International Symposium on Visualization in Joining & Welding Science through Advanced Measurements and Simulation (Visual-JW2012)    2012年11月  [査読有り]  [招待有り]

  • Interface Engineering between Metal Electrode and GeO2 Dielectric for Future Ge-based Metal-Oxide-Semiconductor Technologies

    S. Ogawa, I. Hideshima, Y. Minoura, T. Yamamoto, A. Yasui, H. Miyata, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology   101 ( 20 )  2012年10月  [査読有り]

    DOI

    Scopus

    9
    被引用数
    (Scopus)
  • Fabrication of high-quality GOI and SGOI structures by rapid melt growth method - Novel platform for high-mobility transistors and photonic devices - (Invited)

    H. Watanabe, Y. Suzuki, S. Ogiwara, N. Kataoka, T. Hashimoto, T. Hosoi, T. Shimura

    Pacific Rim Meeting on Electrochemical and Solid-state Science (PRiMe 2012)   50 ( 4 ) 261 - 266  2012年10月  [査読有り]  [招待有り]

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • Germanium Nitride Interface Layer for High-k/Ge Gate Stacks

    T. Hosoi, G. Okamoto, K. Kutsuki, I. Hideshima, A. Yoshigoe, Y. Teraoka, T. Shimura, H. Watanabe

    Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology    2012年10月  [査読有り]

  • Evaluation of Carrier Mobility in Local GOI Structures Formed by Lateral Liquid-Phase Epitaxy

    Y. Suzuki, S. Ogiwara, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology    2012年10月  [査読有り]

  • Application of Multiline Embedded X-ray Targets to X-ray Talbot-Lau Interferometer

    N. Morimoto, S. Fujino, T. Nagatomi, K. Ohshima, J. Harada, K. Omote, N. Osaka, T. Hosoi, H. Watanabe, T. Shimura

    Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology    2012年10月  [査読有り]

  • High-quality Fully Relaxed SiGe Layers Fabricated on Silicon-on-Insulator Wafers by Rapid Melt Growth

    T. Shimura, S. Ogiwara, C. Yoshimoto, T. Hosoi, H. Watanabe

    Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology    2012年10月  [査読有り]

  • Improvement of Thermal SiO2/4H-SiC Interface by UV Irradiation and Subsequent High Temperature Annealing

    D. Ikeguchi, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology    2012年10月  [査読有り]

  • Process Optimization of GeON/Ge Gate Stacks for High-mobility Ge-based CMOS Devices

    Y. Minoura, A. Kasuya, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology    2012年10月  [査読有り]

  • Advanced Poly-Si/TiN Gate Electrode for Gate-first Metal/high-k PMOSFET

    K. Chikaraishi, T. Minami, N. Kitano, T. Seino, N. Yamaguchi, T. Nakagawa, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology    2012年10月  [査読有り]

  • Gate Stack Technology for Next-Generation Green Electronics

    H. Watanabe, T. Shimura, T. Hosoi

    Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology    2012年10月  [査読有り]

  • Elimination of Mobile Ions in Thermal Oxide of SiC MOS Devices

    A. Chanthaphan, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology    2012年10月  [査読有り]

  • Fabrication and Evaluation of Photoelectronic Devices Integrated with Gold Nanoparticle Plasmon Antenna

    T. Hashimoto, Y. Fukunishi, Z. Bin, Y. Uraoka, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology    2012年10月  [査読有り]

  • Novel approach for improving interface quality of 4H-SiC MOS devices with UV irradiation and subsequent thermal annealing

    H. Watanabe, D. Ikeguchi, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura

    9th European Conference on Silicon Carbide & Related Materials (ECSCRM-2012)   740-742   741 - +  2012年09月  [査読有り]

    DOI

    Scopus

    14
    被引用数
    (Scopus)
  • Characterization of multicrystalline Si in solar modules by synchrotron white x-ray microbeam diffraction

    T. Shimura, T. Matsumiya, N. Morimoto, S. Fujino, T. Hosoi, K. Kajiwara, J. Chen, T. Sekiguchi, H. Watanabe

    Abstracts of 11th Biennial Conference on High Resolution X-Ray Diffraction and Imaging (XTOP 2012)    2012年09月  [査読有り]

  • Effective Work Function Control of MIPS/High-k Gate Stacks by Al-Incorporation and in situ Low-Pressure Oxidation of TiN Surface

    K. Chikaraishi, T. Minami, N. Kitano, T. Seino, N. Yamaguchi, T. Nakagawa, T. Hosoi, T. Shimura, H. Watanabe

    Program and Abstrats of Plenary, Forums, Somiya Award and Special Lecture, IUMRS-ICEM 2012    2012年09月  [査読有り]

  • Rapid Melt Growth of Fully Relaxed SiGe Layers with High Ge Concentration on Silicon-on-Insulator Substrates

    T. Shimura, S. Ogiwara, Y. Suzuki, C. Yoshimoto, T. Hosoi, H. Watanabe

    Program and Abstrats of Plenary, Forums, Somiya Award and Special Lecture, IUMRS-ICEM 2012    2012年09月  [査読有り]

  • Development of multiline embedded X-ray targets for X-ray phase contrast imaging

    N. Morimoto, S. Fujino, T. Nagatomi, K. Ohshima, J. Harada, K. Omote, N. Osaka, T. Hosoi, H. Watanabe, T. Shimura

    Abstracts of 11th Biennial Conference on High Resolution X-Ray Diffraction and Imaging (XTOP 2012)    2012年09月  [査読有り]

  • Synthesis of large-scale transparent gold nanosheets sandwiched between stabilizers at a solid–liquid interface

    Khaleda Banu, Takayoshi Shimura

    New J. Chem.   36 ( 10 ) 2112 - 2120  2012年07月  [査読有り]

    DOI

    Scopus

    18
    被引用数
    (Scopus)
  • Investigation of unusual mobile ion effects in thermally grown SiO2 on 4H-SiC(0001) at high temperatures

    A. Chanthaphan, T. Hosoi, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, H. Watanabe

    Appl. Phys. Lett.   100 ( 25 )  2012年06月  [査読有り]

    DOI

    Scopus

    49
    被引用数
    (Scopus)
  • Gate Stack Technologies for Silicon Carbide Power MOS Devices (Invited)

    T. Hosoi, T. Kirino, Y. Uenishi, D. Ikeguchi, A. Chanthaphan, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, H. Watanabe

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2012)    2012年06月  [査読有り]  [招待有り]

  • TiN電極中の酸素に起因したHf系High-kゲート絶縁膜の特性劣化

    細井 卓治, 大嶽 祐輝, 有村 拓晃, 力石 薫介, 北野 尚武, 志村 考功, 渡部 平司

    電子情報通信学会 シリコン材料・デバイス(SDM)研究会   112 ( 92 ) 43 - 46  2012年06月  [査読有り]

     概要を見る

    ゲートファーストmetal/high-kスタックの課題として,実効仕事関数の制御とEOTスケーリングが挙げられる.TiN/Hf系high-kゲートスタックでは,高温熱処理による界面SiO_2層の増膜に加えて,HfやSiといった元素がTiN電極中に拡散し,high-k膜が低誘電率化することが知られている.一方で,poly-Siキャップ層を有するMIPS構造ではHf拡散が見られないなど,その拡散メカニズムは未だ明らかとなっていない.本研究では,TiN電極中に意図的に酸素を添加したゲートスタックを用いて,上方拡散するHf原子の量がTiN電極中の酸素量に依存すること,またHf拡散が界面SiO_2層の増膜よりも低温の650℃で起きることを明らかにした.

    CiNii

  • 極薄GeON膜を用いた高移動度Ge MOSFETの作製と電気特性評価

    箕浦 佑也, 糟谷 篤志, 細井 卓治, 志村 考功, 渡部 平司

    電子情報通信学会 シリコン材料・デバイス(SDM)研究会    2012年06月  [査読有り]

  • Impact of Si duffusion barrier layer formed on TiN surface by in-situ oxygen treatment process for advanced gate-first metal/high-k stacks

    N. Kitano, K.Chikaraishi, H. Arimura, T. Hosoi, T. Shimura, T. Seino, H. Watanabe, T. Nakagawa

    221st ECS Meeting - Seattle, WA   45 ( 3 ) 145 - 149  2012年05月  [査読有り]

    DOI

    Scopus

  • Comprehensive Study of the X-Ray Photoelectron Spectroscopy Peak Shift of La-Incorporated Hf Oxide for Gate Dielectrics

    Takashi Yamamoto, Shingo Ogawa, Jun-ichi Tsuji, Koji Kita, Katsunori Tagami, Tsuyoshi Uda, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Jpn. J. Appl. Phys.   51 ( 4 )  2012年04月  [査読有り]

    DOI

    Scopus

  • Insight into Bias-temperature Instability of 4H-SiC MOS Devices with Thermally Grown SiO2 Dielectrics

    Atthawut Chanthaphan, Takashi Kirino, Yusuke Uenishi, Daisuke Ikeguchi, Shuhei Mitani, Yuki Nakano, Takashi Nakamura, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    2012 MRS Spring Meeting    2012年04月  [査読有り]

     概要を見る

    2012 MRS Spring Meeting Program and Exhibit Guide, P.138

  • 放射光X 線トポグラフィによる極薄ひずみSi 層の結晶性評価

    志村考功, 細井卓治, 渡部平司

    日本結晶学会誌    2012年03月  [査読有り]

  • Oxygen-induced high-k degradation in TiN/HfSiO gate stacks

    Takuji Hosoi, Yuki Odake, Keisuke Chikaraishi, Hiroaki Arimura, Naomu Kitano, Takayoshi Shimura, Heiji Watanabe

    2012 IEEE Silicon Nanoelectronics Workshop, SNW 2012    2012年  [査読有り]

     概要を見る

    We have investigated the diffusion kinetics of Hf in TiN/HfSiO gate stacks. The Hf upward diffusion is found to be independent of interfacial SiO 2 growth, but depends on the amount of oxygen in the gate stacks. It is also revealed that Hf diffusion into TiN electrode occurs at above 650°C and leads to high-k degradation. © 2012 IEEE.

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • 横方向液相エピタキシャル成長により作製した単結晶GOI構造の電気特性評価

    鈴木 雄一朗, 荻原 伸平, 細井 卓治, 志村 考功, 渡部 平司

    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第17回研究会)    2012年01月  [査読有り]

  • ゲルマニウム熱酸化膜中の残留秩序構造

    志村 考功, 下川 大輔, 松宮 拓也, 細井 卓冶, 渡部 平司

    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第17回研究会)    2012年01月  [査読有り]

  • TiN電極の減圧表面酸化処理によるpoly-Si/TiN/HfSiO/SiO2ゲートスタックの実効仕事関数制御

    力石 薫介, 北野 尚武, 有村 拓晃, 細井 卓治, 志村考功, 中川 隆史, 渡部 平司

    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第17回研究会)    2012年01月  [査読有り]

  • 紫外線照射による熱酸化 SiO2/SiC 構造中の電気的欠陥生成

    池口大輔, 桐野嵩史, 箕谷周平, 中野佑紀, 中村孝, 細井卓治, 志村考功, 渡部平司

    SiC及び関連ワイドギャップ半導体研究会 第20回講演会 予稿集    2011年12月  [査読有り]

  • 高温条件下における4H-SiC MOS デバイスの不安定性

    Atthawut Chanthaphan, 桐野嵩史, 上西悠介, 池口大輔, 箕谷周平, 中野佑紀, 中村孝, 細井卓治, 志村考功, 渡部平司

    SiC及び関連ワイドギャップ半導体研究会 第20回講演会 予稿集    2011年12月  [査読有り]

  • High-mobility Ge-on-insulator p-channel MOSFETs fabricated by lateral liquid-phase epitaxy

    Y. Suzuki, S. Ogiwara, T. Hosoi, T. Shimura, H. Watanabe

    Abstracts, 42nd IEEE Semiconductor Interface Specialists Conference    2011年12月  [査読有り]

  • Drastic degradation in dielectric properties of TiN/HfSiO/SiO2 gate stacks due to Hf uptake property of TiN electrodes

    T. Hosoi, H. Arimura, Y. Odake, N. Kitano, T. Shimura, H. Watanabe

    Abstracts, 42nd IEEE Semiconductor Interface Specialists Conference    2011年12月  [査読有り]

  • High-Quality Al2O3/GeO2 Gate Dielectrics Formed by Post-Deposition Oxidation of Ultrathin Metal Al Layer on Ge Substrates

    Iori Hideshima, Atsushi Kasuya, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Abstracts of 15th International Conference on Thin Films (ICTF-15)   12   S75 - S78  2011年11月  [査読有り]

    DOI

    Scopus

    11
    被引用数
    (Scopus)
  • Characterization of Grain Boundaries and Lattice Strain in Multicrystalline Si for Solar Cells by Synchrotron White X-ray Micro-beam Diffraction Method

    T. Matsumiya, N. Morimoto, S. Fujino, T. Hosoi, T. Shimura, K. Kajiwara, J. Chen, T. Sekiguchi, H. Watanabe

    Program and Abstracts of 7th Handai Nanoscience and nanotechnology International Symposium    2011年11月  [査読有り]

  • Investigation of Mobile Ion Generation in Thermal Oxide of 4H-SiC(0001) MOS Devices with High-Temperature Hydrogen Annealing

    Atthawut Chanthaphan, Takashi Kirino, Yusuke Uenishi, Daisuke Ikeguchi, Shuhei Mitani, Yuki Nakano, Takashi Nakamura, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Abstracts of 15th International Conference on Thin Films (ICTF-15)    2011年11月  [査読有り]

  • Modulation of Conduction Band Offset at SiO2/4H-SiC Interface Depending on Interface Defect Passivation Treatment

    T. Hosoi, T. Kitano, A. Chanthaphan, Y. Uenishi, D. Ikeguchi, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, H. Watanabe

    Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology    2011年11月  [査読有り]

  • Two-Dimensional Strain Measurement of Strained Silicon Wafer by Synchrotron X-ray Topography and its Electrical Characterization Using Back-Gate Transistors

    T. Shimura, D. Shimokawa, T. Matsumiya, N. Morimoto, A. Ogura, T. Hosoi, H. Watanabe

    Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology    2011年11月  [査読有り]

  • Effective Work Function Control of Metal Inserted Poly-Si Electroodes on HfSiO Dielectrics by In-situ Oxygen Treatment Process

    N. Kitano, K. Chikaraishi, H. Arimura, T. Hosoi, T. Shimura, T. Nakagawa, H. Watanabe

    Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology    2011年11月  [査読有り]

  • Al-based High-k/Ge Gate Stacks Fabricated by Post-Deposition Oxidation of Ultrathin Al Layer on Ge Substrates

    I. Hideshima, A. Kasuya, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology    2011年11月  [査読有り]

  • Investigation of UV-Induced Electrical Defects in Thermally Grown 4H-SiC MOS Devices

    D. Ikeguchi, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology    2011年11月  [査読有り]

  • Flatband Voltage Instability Due to Mobile Ions in 4H-SiC Metal-Oxide-Semiconductor Devices

    A. Chanthaphan, T. Kirino, Y. Uenishi, D. Ikeguchi, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology    2011年11月  [査読有り]

  • High-Quality Single-Crystalline Ge-on-Insulator P-Channel MOSFETs Formed by Lateral Liquid-Phase Epitaxy

    T. Suzuki, S. Ogiwara, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology    2011年11月  [査読有り]

  • High-mobility Ge MOSFETs with GeON gate dielectrics formed by plasma nitridation of ultrathin GeO2

    A. Kasuya, K. Kutsuki, I. Hideshima, Y. Minoura, T. Hosoi, T. Shimura, H. Watanabe

    Program and Abstracts of 7th Handai Nanoscience and nanotechnology International Symposium    2011年11月  [査読有り]

  • Fabrication of High-quality GOI and SGOI Structures by Rapid Melt Growth Method

    H. Watanabe, C. Yoshimoto, T. Hashimoto, S. Ogiwara, Y. Suzuki, T. Hosoi, T. Shimura

    Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology    2011年11月  [査読有り]

  • Insight into unusual impurity absorbability of GeO2 in GeO2/Ge stacks

    Shingo Ogawa, Taichi Suda, Takashi Yamamoto, Katsuhiro Kutsuki, Iori Hideshima, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Appl. Phys. Lett.   99 ( 14 )  2011年10月  [査読有り]

    DOI

    Scopus

    18
    被引用数
    (Scopus)
  • Detrimental Hf penetration into TiN gate electrode and subsequent degradation in dielectric properties of HfSiO high-k film

    Hiroaki Arimura, Yuki Odake, Naomu Kitano, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Appl. Phys. Lett.   99 ( 14 )  2011年10月  [査読有り]

    DOI

    Scopus

    3
    被引用数
    (Scopus)
  • Passivation of High-k Bulk and Interface Defects by Incorporating La into Hf-silicate and its Impact on Carrier Mobility [SISC] (Invited)

    M. Saeki, H. Arimura, N. Kitano, T. Hosoi, T. Shimura, H. Watanabe

    IEEE 11th Kansai Colloquium, Electron Devices Workshop    2011年10月  [査読有り]  [招待有り]

  • Analysis of Grain Orientation and Lattice Strain in Multicrystalline Silicon for Photovoltaic Cells by Synchrotron White X-ray Micro-beam Diffraction Method

    T. Shimura, T. Matsumiya, N. Morimoto, T. Hosoi, K. Kajiwara, J. Chen, T. Sekiguchi, H. Watanabe

    Abstracts of 14the International Conference on Defects-Recognition, Imaging and Physics in Semiconductors (DRIP-XIV)   725   153 - +  2011年09月  [査読有り]

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Synchrotron Radiation Photoelectron Spectroscopy Study of Thermally Grown Oxides on 4H-SiC(0001) Si-face and (000-1) C-face Substrates (Invited)

    Heiji Watanabe, Takuji Hosoi, Takashi Kirino, Yusuke Uenishi, Atthawut Chanthaphan, Akitaka Yoshigoe, Yuden Teraoka, Suhei Mitani, Yuki Nakano, Takashi Nakamura, Takayoshi Shimura

    2011 International Conference on Silicon Carbide and Related Materials Abstract Book   717-720   697 - +  2011年09月  [査読有り]  [招待有り]

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • Impact of Interface Defect Passivation on Conduction Band Offset at SiO2/4H-SiC Interface

    Takuji Hosoi, Takashi Kirino, Atthawut Chanthaphan, Yusuke Uenishi, Daisuke Ikeguchi, Akitaka Yoshigoe, Yuden Teraoka, Shuhei Mitani, Yuki Nakano, Takashi Nakamura, Takayoshi Shimura, Heiji Watanabe

    2011 International Conference on Silicon Carbide and Related Materials Abstract Book   717-720   721 - +  2011年09月  [査読有り]

    DOI

    Scopus

    8
    被引用数
    (Scopus)
  • Impact of UV Irradiation on Thermally Grown 4H-SiC MOS Devices

    Daisuke Ikeguchi, Takashi Kirino, Shuhei Mitani, Yuki Nakano, Takashi Nakamura, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    2011 International Conference on Silicon Carbide and Related Materials Abstract Book   717-720   765 - +  2011年09月  [査読有り]

    DOI

    Scopus

    9
    被引用数
    (Scopus)
  • X-ray diffraction profiles of Si nanowires with trapezoidal cross-sections

    Teruaki Takeuchi, Kosuke Tatsumura, Iwao Ohdomari, Takayoshi Shimura, Masao Nagase

    Physica B   406 ( 13 ) 2559 - 2564  2011年07月  [査読有り]

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • A novel electroless method for the deposition of single-crystalline platinum nanoparticle films on an organic solid matrix in the presence of gold single crystals

    Khaleda Banu, Takayoshi Shimura

    New J. Chem   35 ( 7 ) 1503 - 1514  2011年07月  [査読有り]

    DOI

    Scopus

    4
    被引用数
    (Scopus)
  • Synchrotron x-ray photoelectron spectroscopy study on thermally grown SiO2/4H-SiC(0001) interface and its correlation with electrical properties

    Heiji Watanabe, Takuji Hosoi, Takashi Kirino, Yusuke Kagei, Yusuke Uenishi, Atthawut Chanthaphan, Akitaka Yoshigoe, Yuden Teraoka, Takayoshi Shimura

    Appl. Phys. Lett.   99 ( 2 )  2011年07月  [査読有り]

    DOI

    Scopus

    125
    被引用数
    (Scopus)
  • 高温熱処理によるTiN/HfLaSiO/SiO2ゲートスタック中Hf及びLa原子のTiN電極中への拡散とMIPS構造による抑制

    大嶽祐輝, 有村拓晃, 佐伯雅之, 力石薫介, 北野尚武, 細井卓治, 志村考功, 渡部平司

    電子情報通信学会 シリコン材料・デバイス(SDM)研究会誌    2011年07月  [査読有り]

  • A novel electroless method for the deposition of single-crystalline gold nanocrystals on and inside an organic solid-matrix

    Khaleda Banu, Takayoshi Shimura

    New J. Chem.   35 ( 5 ) 1031 - 1041  2011年05月  [査読有り]

    DOI

    Scopus

    7
    被引用数
    (Scopus)
  • Advantage of high-density plasma nitridation for improving thermal stability of ultrathin GeO2 on Ge(100)

    A. Kasuya, K. Kutsuki, I. Hideshima, T. Hosoi, T. Shimura, H. Watanabe

    Technical Digest of 2011 International Meeting for Future of Electron Devices, Kansai    2011年05月  [査読有り]

  • Surface Cleaning and Etching of 4H-SiC(0001) Using High-Density Atmospheric Pressure Hydrogen Plasma

    Watanabe, Heiji, Ohmi, Hiromasa, Kakiuchi, Hiroaki, Hosoi, Takuji, Shimura, Takayoshi, Yasutake, Kiyoshi

    Journal of Nanoscience and Nanotechnology   11 ( 4 ) 2802 - 2808  2011年04月  [査読有り]

    DOI

    Scopus

    6
    被引用数
    (Scopus)
  • Electronic Structure Characterization of La Incorporated Hf-Based High-k Gate Dielectrics by NEXAFS

    Yamamoto, Takashi, Ogawa, Singo, Kunisu, Masahiro, Tsuji, Junichi, Kita, Koji, Saeki, Masayuki, Oku, Yudai, Arimura, Hiroaki, Kitano, Naomu, Hosoi, Takuji, Shimura, Takayoshi, Watanabe, Heiji

    Journal of Nanoscience and Nanotechnology   11 ( 4 ) 2823 - 2828  2011年04月  [査読有り]

    DOI

    Scopus

    5
    被引用数
    (Scopus)
  • Electrical Characteristics of Ge-Based Metal-Insulator-Semiconductor Devices with Ge3N4 Dielectrics Formed by Plasma Nitridation

    Okamoto, Gaku, Kutsuki, Katsuhiro, Hosoi, Takuji, Shimura, Takayoshi, Watanabe, Heiji

    Journal of Nanoscience and Nanotechnology   11 ( 4 ) 2856 - 2860  2011年04月  [査読有り]

    DOI

    Scopus

    11
    被引用数
    (Scopus)
  • Initial Stages of High-Temperature CaF2/Si(001) Epitaxial Growth Studied by Surface X-Ray Diffraction

    Suturin, Sergey M, Sokolov, Nikolai S, Banshchikov, Aleksander G, Kyutt, Reginald N, Sakata, Osami, Shimura, Takayoshi, Harada, Jimpei, Tabuchi, Masao, Takeda, Yoshikazu

    Journal of Nanoscience and Nanotechnology   11 ( 4 ) 2990 - 2996  2011年04月  [査読有り]

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • Fundamental Aspects and Interface Engineering of Ge-MOS Devices

    Heiji Watanabe, Katsuhiro Kutsuki, Iori Hideshima, Gaku Okamoto, Shoichiro Saito, Tomoya Ono, Takuji Hosoi, Takayoshi Shimura

    2011 MRS Spring Meeting Program and Exhibit Guide    2011年04月  [査読有り]

  • (Invited) Understanding and Control of Metal-Oxide-Semiconductor Interfaces for Advanced Nanoelectronics

    Heiji Watanabe, Takuji Hosoi, Takayoshi Shimura, Kenji Shiraishi, Keisaku Yamada

    Abstracts of The 3rd Working Group Meeting of Asia Consortium on Computational Materials Science on "Advances in Nano Device Simulation" (accms WGM3)    2011年04月  [査読有り]  [招待有り]

  • Improved Electrocal Properties and Thermal Stability of GeON Gate Dielectrics formed by Plasma Nitridation of Ultrathin Oxides on Ge(100)

    Heiji Watanabe, Katsuhiro Kutsuki, Iori Hideshima, Gaku Okamoto, Takuji Hosoi, Takayoshi Shimura

    Key Engineering Materials   470   152 - 157  2011年02月  [査読有り]

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • Characterization of SiGe Layer during Ge Condensation Process by X-ray Diffration Methods

    Takayoshi Shimura, Tomoyuki Inoue, Daisuke Shimokawa, Takuji Hosoi, Yasuhiko Imai, Osami Sakata, Shigeru Kimura, Heiji Watanabe

    Jpn. J. Appl. Phys.   50 ( 1 )  2011年01月  [査読有り]

    DOI

    Scopus

    3
    被引用数
    (Scopus)
  • Impact of Thermally Induced Structural Changes on the Electrical Properties of TiN/HfLaSiO Gate Stacks

    Takashi Yamamoto, Shingo Ogawa, Hiroaki Arimura, Masayuki Saeki, Naomu Kitano, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Extended Abstracts of 2011 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2011)   50 ( 10 )  2011年01月  [査読有り]

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • La Induced Passivation of High-k Bulk and Interface Defects in Poly-Si/TiN/HfLaSiO/SiO2 Stacks

    Masayuki Saeki, Hiroaki Arimura, Naomu Kitano, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Extended Abstracts of 2011 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2011)   50 ( 10 )  2011年01月  [査読有り]

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • In situ Synchrotron Radiation Photoemission Study of Ge3N4/Ge Structures Formed by Plasma Nitridation

    Takuji Hosoi, Katsuhiro Kutsuki, Gaku Okamoto, Akitaka Yoshigoe, Yuden Teraoka, Takayoshi Shimura, Heiji Watanabe

    Extended Abstracts of 2011 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2011)   50 ( 10 )  2011年01月  [査読有り]

    DOI

    Scopus

    11
    被引用数
    (Scopus)
  • Correlation between surface morphology and breakdown characteristics of thermally grown SiO2 dielectrics in 4H-SiC MOS devices

    Yusuke Uenishi, Kohei Kozono, Shuhei Mitani, Yuki Nakano, Takashi Nakamura, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    IMFEDK 2011 - 2011 International Meeting for Future of Electron Devices, Kansai     76 - 77  2011年  [査読有り]

     概要を見る

    We have investigated the surface and interface morphology of a thermally grown SiO2/4H-SiC(0001) structure by atomic force microscopy and transmission electron microscopy. It was found that the surface roughness results in thickness fluctuation of thermal SiO2 due to the pronounced oxidation near the steps. Thus, the localized high elevated electric field near the steps accelerates dielectric degradation and hence results in poor gate oxide reliability. © 2011 IEEE.

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • High-quality single-crystal SiGe layers on insulator formed by rapid melt growth

    S. Ogiwara, Y. Suzuki, C. Yoshimoto, T. Hosoi, T. Shimura, H. Watanabe

    IMFEDK 2011 - 2011 International Meeting for Future of Electron Devices, Kansai     70 - 71  2011年  [査読有り]

     概要を見る

    We demonstrate the fabrication of high-quality fully relaxed SiGe layers on a silicon-on-insulator (SOI) substrate by rapid melt growth. A compositional gradient and crystallographic defects are confined to a region between the relaxed SiGe and residual SOI layers. The degradation of surface roughness during rapid thermal annealing is suppressed by the capping SiO2 layer. © 2011 IEEE.

    DOI

    Scopus

  • 急速加熱液相エピタキシャル成長法による高Ge濃度SGOI構造の作製

    荻原 伸平, 鈴木 雄一朗, 吉本 千秋, 細井 卓治, 志村 考功, 渡部 平司

    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第16回研究会)    2011年01月  [査読有り]

  • (招待講演)High-k/Metalゲートスタックにおける酸素空孔形成要因と実効仕事関数変調機構

    細井 卓治, 佐伯 雅之, 奥 雄大, 北野 尚武, 有村 拓晃, 大嶽 祐輝, 白石 賢二, 山田 啓作, 志村 考功, 渡部 平司

    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第16回研究会)    2011年01月  [査読有り]  [招待有り]

  • 極薄Ge熱酸化膜の高密度プラズマ窒化により形成したGeON絶縁膜の熱安定性評価

    糟谷 篤志, 朽木 克博, 秀島 伊織, 細井 卓治, 志村 考功, 渡部 平司

    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第16回研究会)    2011年01月  [査読有り]

  • (依頼講演)ゲルマニウムMOSデバイスにおける界面設計と高移動度FETへの応用

    渡部平司, 朽木克博, 糟谷篤志, 秀島伊織, 斉藤正一朗, 小野倫也, 細井卓治, 志村考功

    応用物理学会 北海道支部講演会    2010年12月  [査読有り]  [招待有り]

  • Interfacial Design of High-k/Ge Gate Stacks with ZrO2 Dielectrics for Scaled Ge-based MOS devices

    Takuji Hosoi, Gaku Okamoto, Iori Hideshima, Atsushi Kasuya, Katsuhiro Kutsuki, James Harries, Akitaka Yoshigoe, Yuden Teraoka, Takayoshi Shimura, Heiji Watanabe

    Abstracts, 41st IEEE Semiconductor Interface Specialists Conference    2010年12月  [査読有り]

  • Impact of Plasma Nitridation On Electrical properties and Thermal Stability of Ultrathin Thermal GeO2 on Ge(100)

    Katsuhiro Kutsuki, Atsushi Kasuya, Iori Hideshima, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Abstracts, 41st IEEE Semiconductor Interface Specialists Conference    2010年12月  [査読有り]

  • Energy Band Structure of Thermally Grown SiO2/4H-SiC Interfaces and its Modulation Induced by Post-oxidation Treatments

    Takashi Kirino, Yusuke Kagei, Akitaka Yoshigoe, Yuden Teraoka, Syuhei Mitani, Yuki Nakano, Takashi Nakamura, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Abstracts, 41st IEEE Semiconductor Interface Specialists Conference    2010年12月  [査読有り]

  • Thermal Robustness and Improved Electrical Properties of Ultrathin Germanium Oxynitride Gate Dielectric

    K. Kutuki, I. Hideshima, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology   50 ( 1 ) 10106  2010年11月  [査読有り]

    DOI

    Scopus

    14
    被引用数
    (Scopus)
  • Impact of Nitrogen Incorporation into A12O3 Gate Dielectrics on Flatband Voltage Stability in 4H-SiC MIS Devices

    T. Hosoi, Y. Kagei, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, H. Watanabe

    Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology    2010年11月  [査読有り]

  • Excellent Electrical Property of Ge-MIS Devices with ZrO2 High-k Gate Dielectrics

    T. Hosoi, G. Okamoto, K. Kutsuki, J. Harries, A. Yoshigoe, Y. Teraoka, T. Shimura, H. Watanabe

    Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology    2010年11月  [査読有り]

  • Residual Order and Rate Enhancement of SiGe Thermal Oxidation

    T. Shimura, Y. Okamoto, D. Shimokawa, T. Inoue, T. Hosoi, H. Watanabe

    Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology    2010年11月  [査読有り]

  • Investigation of Correlation between Thermally Grown SiO2 Thickness Fluctuation and Local Dielectric Breakdown in 4H-SiC MOS Devices

    Y. Uenishi, K. Kozono, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology    2010年11月  [査読有り]

  • Modulation on Thermally Grown SiO2/4H-SiC Energy Band Structure Depending on Surface Orientation

    T. Kirino, Y. Kagei, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology    2010年11月  [査読有り]

  • Investigation of High-k Bulk and Interface Defects in Poly-Si/TiN/HfLaSiO/SiO2 Stacks using Charge Pumping Technique

    M. Saeki, H. Arimura, N. Kitano, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology    2010年11月  [査読有り]

  • Investigation of Structural Change in TiN/HfLaSiO Gate Stack Induced by High-temperature Annealing

    T. Yamamoto, S. Ogawa, H. Arimura, M. Saeki, N. Kitano, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology    2010年11月  [査読有り]

  • (招待講演)金属電極/高誘電率絶縁膜界面の物理を中心としたHigh-k/Metalゲートスタックの実効仕事関数変調機構の理解

    細井卓治, 佐伯雅之, 喜多祐起, 奥雄大, 有村拓晃, 北野尚武, 白石賢二, 山田啓作, 志村考功, 渡部平司

    信学技報 2010年11月.   110 ( 274 ) 23 - 28  2010年11月  [査読有り]  [招待有り]

     概要を見る

    p型電極/高誘電率絶縁膜ゲートスタックでは,電極材料がpoly-Siもしくは金属に関わらず,ソース/ドレイン活性化アニールなどの高温熱処理後にその実効仕事関数が大きく低下してしまうことが知られている.このフェルミレベルピニングと呼ばれる現象は,high-k膜中に酸素空孔が形成されることによって生じるhigh-k/電極界面のダイポールが原因と考えられている.本研究では,酸素空孔起因の欠陥準位から電極側への電子移動のエネルギー利得や,ゲートスタック中に存在する炭素やシリコンなどの強い還元力を有する元素の存在が酸素空孔形成の駆動力であることを実験的に検証し,ゲートファーストhigh-k/metalゲートスタックの実効仕事関数制御に向けた指針を示した.

    CiNii

  • Fabrication of Fully Relaxed SiGe Layers with High Ge Concentration on Silicon-on-Insulator Wafers by Rapid Melt Growth

    Takayoshi Shimura, Shimpei Ogiwara, Chiaki Yoshimoto, Takuji Hosoi, Heiji Watanabe

    Appl. Phys. Express   3 ( 10 ) 105501  2010年10月  [査読有り]

    DOI

    Scopus

    12
    被引用数
    (Scopus)
  • Interface Reaction and Rate Enhancement of SiGe Thermal Oxidation

    Takayoshi Shimura, Yuki Okamoto, Daisuke Shimokawa, Tomoyuki Inoue, Takuji Hosoi, Heiji Watanabe

    ECS Trans   33 ( 6 ) 893 - 899  2010年10月  [査読有り]

    DOI

    Scopus

    3
    被引用数
    (Scopus)
  • SiO2/4H-SiC断面構造解析による熱酸化SiO2信頼性低下要因の評価

    上西悠介, 小園幸平, 箕谷周平, 中野佑紀, 中村 孝, 細井卓治, 志村考功, 渡部平司

    SiC及び関連ワイドギャップ半導体研究会 第19回講演会 予稿集    2010年10月  [査読有り]

  • SiO2/4H-SiCエネルギーバンド構造に対する界面特性改善処理の影響

    細井卓治, 桐野嵩史, Atthawut Chanthaphan, 池口大輔, 吉越章隆, 寺岡有殿, 箕谷周平, 中野佑紀, 中村 孝, 志村考功, 渡部平司

    SiC及び関連ワイドギャップ半導体研究会 第19回講演会 予稿集    2010年10月  [査読有り]

  • Energy Band Structure of SiO2/4H-SiC Interfaces and its Modulation Induced by Intrinsic and Extrinsic Interface Charge Transfer

    H. Watanabe, T. Kirino, Y. Kagei, J. Harries, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura

    Abstract Booklet of the 8th European Conference on Silicon Carbide and Related Materials   679-680   386 - +  2010年09月  [査読有り]

    DOI

    Scopus

    27
    被引用数
    (Scopus)
  • Thermal Stability and Electron Irradiation Damage of Ordered Structure in the Thermal Oxide Layer on Si

    Takayoshi Shimura, Daisuke Shimokawa, Tomoyuki Inoue, Takuji Hosoi, Heiji Watanabe, Osami Sakata, Masataka Umeno

    J. Electrochem. Soc.   157 ( 10 ) H977 - H981  2010年08月  [査読有り]

    DOI

    Scopus

  • Investigation of surface and interface morphology of thermally grown SiO2 Dielectrics on 4H-SiC(0001) substrates

    Takuji Hosoi, Kohei Kozono, Yusuke Uenishi, Shuhei Mitani, Yuki Nakano, Takashi Nakamura, Takayoshi Shimura, Heiji Watanabe

    Abstract Booklet of the 8th European Conference on Silicon Carbide and Related Materials   679-680   342 - +  2010年08月  [査読有り]

    DOI

    Scopus

    26
    被引用数
    (Scopus)
  • Reduction of Charge Trapping Sites in Al2O3/SiO2 Stacked Gate Dielectrics by Incorporating Nitrogen for Highly Reliable 4H-SiC MIS Devices diodes

    Takuji Hosoi, Yusuke Kagei, Takashi Kirino, Shuhei Mitani, Yuki Nakano, Takashi Nakamura, Takayoshi Shimura, Heiji Watanabe

    Abstract Booklet of the 8th European Conference on Silicon Carbide and Related Materials   679-680   496 - +  2010年08月  [査読有り]

    DOI

    Scopus

    9
    被引用数
    (Scopus)
  • ゲートファーストHigh-k MIPS構造の実効仕事関数制御に向けた指針

    細井卓治, 佐伯雅之, 奥雄大, 有村拓晃, 北野尚武, 白石賢二, 山田啓作, 志村考功, 渡部平司

    応用物理学会シリコンテクノロジー分科会第127回研究集会予稿集    2010年07月  [査読有り]  [招待有り]

  • Comprehensive Study and Control of Oxygen Vacancy Induced Effective Work Function Modulation in Gate-First High-k/Metal Inserted Poly-Si Stacks

    T. Hosoi, M. Saeki, Y. Oku, H. Arimura, N. Kitano, K. Shiraishi, K. Yamada, T. Shimura, H. Watanabe

    2010 Symposium on VLSI Technology Digest of Technical Papers     179 - +  2010年06月  [査読有り]

  • Interface Engineering of ZrO2/Ge Gate Stacks by Post-deposition Annealing and Al2O3 Capping Layers

    H. Watanabe, G. Okamoto, K. Kutsuki, J. Harries, A. Yoshigoe, Y. Teraoka, T. Hosoi, T. Shimura

    Extended Abstracts of International Symposium on Technology Evolution for Silicon Nano-Electronics    2010年06月  [査読有り]

  • Superior electrical properties and thermal stability of ultrathin GeON dielectrics formed by plasma nitridation of thermal oxides on Ge(100)

    K. Kutsuki, I. Hideshima, G. Okamoto, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of International Symposium on Technology Evolution for Silicon Nano-Electronics    2010年06月  [査読有り]

  • Synchrotron X-ray Diffraction Study of Lattice Inclination and Strain in Strained Si Wafers

    D. Shimokawa, T. Inoue, A. Ogura, M. Umeno, T. Hosoi, T. Shimura, H. Watanabe

    Abstract Notebook of International Conference on Core Research and Engineering Science of Advanced Materials    2010年06月  [査読有り]

  • Conductive AFM study on local dielectric degradation of thermal oxides in 4H-SiC MOS devices

    Y. Uenishi, K. Kozono, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, H. Watanabe

    Abstract Notebook of International Conference on Core Research and Engineering Science of Advanced Materials    2010年06月  [査読有り]

  • Control of Thermally Grown GeO2/Ge MOS Characteristics - Effects of Vanuum Annealing, Capping Layers and Electrode Material -

    I. Hideshima, K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, H. Watanabe

    Abstract Notebook of International Conference on Core Research and Engineering Science of Advanced Materials    2010年06月  [査読有り]

  • Investigation of the Physical Origin of the Improved Electrical Properties of GeO2 Dielectric by Vacuum Annealing.

    Shingo Ogawa, Takashi Yamamoto, Gaku Okamoto, Katsuhiro Kutsuki, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Program and Exhibit Guide of 2010 MRS spring meeting    2010年04月  [査読有り]

  • Fabrication of advanced La-incorporated Hf-silicate gate dielectrics using physical-vapor-deposition-based in situ method and its effective work function modulation of metal/high-k stacks

    Hiroaki Arimura, Yudai Oku, Masayuki Saeki, Naomu Kitano, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    J. Appl. Phys.   107 ( 3 )  2010年02月  [査読有り]

    DOI

    Scopus

    10
    被引用数
    (Scopus)
  • Improved electrical properties of SiC-MOS interfaces by thermal oxidation of plasma nitrided 4H-SiC(0001) surfaces

    Yusuke Kagei, Takashi Kirino, Yuu Watanabe, Shuhei Mitani, Yuki Nakano, Takashi Nakamura, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Materials Science Forum   645-648   507 - 511  2010年  [査読有り]

     概要を見る

    We propose a treatment of nitrogen radical irradiation to 4H-SiC surfaces for improving thermally grown SiO2/SiC interfaces. X-ray photoelectron spectroscopy (XPS) analyses revealed that a 1.7-nm-thick nitride film was formed by nitrogen radical exposure for 30 min and that Si-N bonds were retained after subsequent 10 min oxidation. It was also confirmed by secondary ion mass spectrometry (SIMS) that nitrogen atoms were piled up at the SiO 2/SiC interface for the samples fabricated by thermal oxidation for 3 min with nitrogen plasma exposure. The metal-oxide-semiconductor (MOS) capacitors with a thin oxynitride layer formed by nitrogen radical exposure to the SiC surface and subsequent thermal oxidation exhibited excellent capacitance-voltage (C-V) characteristics. The interface state density (D it) was significantly reduced by nitrogen radical exposure even at the shallow energy level near the conduction band edge. A minimum Dit value of 1.4 × 1011 cm-2eV-1 at Ec - E = 0.44 eV was achieved. Therefore, we can conclude that the treatment of nitrogen radical irradiation to the SiC surface prior to thermal oxidation is a promising method for improving SiC-MOS characteristics. © (2010) Trans Tech Publications.

    DOI

    Scopus

    5
    被引用数
    (Scopus)
  • High-quality GeON gate dielectrics formed by plasma nitridation of ultrathin thermal oxides on Ge(100)

    Heiji Watanabe, Katsuhiro Kutsuki, Iori Hideshima, Gaku Okamoto, Takuji Hosoi, Takayoshi Shimura

    ICSICT-2010 - 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, Proceedings     867 - 870  2010年  [査読有り]  [招待有り]

     概要を見る

    High-quality germanium oxynitride (GeON) gate dielectrics for Ge-based metal-oxide-semiconductor (MOS) devices were fabricated by plasma nitridation of ultrathin thermal oxides on Ge(100) substrates. Although ultrathin oxides with abrupt GeO2/Ge interfaces can be formed by conventional dry oxidation, air exposure results in serious electrical degradation. It was found that plasma nitridation forms a nitrogen-rich capping layer on the ultrathin oxide and significantly improves thermal stability of the GeON layer. The nitrogen-rich layer effectively suppresses electrical degradation during air exposure and provides excellent insulating properties. Consequently, we were able to achieve Ge-MOS capacitors with GeON dielectrics of an equivalent oxide thickness (EOT) as small as 1.7 nm. Minimum interface state density (D it) values of GeON/Ge structures, i.e., as low as 3 × 10 11 cm-2eV-1, were successfully obtained for both the lower and upper halves of the bandgap. ©2010 IEEE.

    DOI

    Scopus

    4
    被引用数
    (Scopus)
  • 大気曝露に起因したGe-MOSキャパシタの電気特性劣化とその改善手法の提案

    秀島 伊織, 岡本 学, 朽木 克博, 細井 卓治, 志村 考功, 渡部 平司

    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会)    2010年01月  [査読有り]

  • ZrO2ゲート絶縁膜を用いたGe MOSデバイスの界面設計

    細井 卓治, 岡本 学, 朽木 克博, 景井 悠介, James Harries, 吉越 章隆, 寺岡 有殿, 志村 考功, 渡部 平司

    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会)    2010年01月  [査読有り]

  • 炭素不純物に起因したTiN/HfSiONゲートスタックの電気特性劣化現象

    佐伯 雅之, 有村 拓晃, 奥 雄大, 北野 尚武, 小須田 求, 細井 卓治, 志村 考功, 渡部 平司

    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会)    2010年01月  [査読有り]

  • 極薄Ge熱酸化膜の高密度プラズマ窒化により形成したGeON絶縁膜の評価

    朽木克博, 秀島伊織, 岡本学, 細井卓治, 志村考功, 渡部平司

    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会)    2010年01月  [査読有り]

  • 4H-SiC(0001)基板表面のプラズマ窒化と高温水素ガスアニール処理によるSiC-MOS界面特性向上

    景井悠介, 桐野嵩史, 小園幸平, 箕谷周平, 中野佑紀, 中村 孝, 吉越章隆, 寺岡有殿, 細井卓治, 志村考功, 渡部平司

    SiC及び関連ワイドギャップ半導体研究会 第18回講演会 予稿集    2009年12月  [査読有り]

  • 導電性原子間力顕微鏡による4H-SiC(0001)熱酸化膜の局所絶縁劣化現象の観察

    小園幸平, 景井悠介, 桐野嵩史, 箕谷周平, 中野佑紀, 中村 孝, 細井卓治, 志村考功, 渡部平司

    SiC及び関連ワイドギャップ半導体研究会 第18回講演会 予稿集    2009年12月  [査読有り]

  • 放射光XPSによる熱酸化SiO2/4H-SiC界面のエネルギーバンド構造分析

    桐野嵩史, 景井悠介, 岡本 学, James Harries, 吉越章隆, 寺岡有殿, 箕谷周平, 中野佑紀, 中村 孝, 細井卓治, 志村考功, 渡部平司

    SiC及び関連ワイドギャップ半導体研究会 第18回講演会 予稿集    2009年12月  [査読有り]

  • プラズマ窒化技術とAlON/SiO2積層絶縁膜によるSiC-MOSデバイスの高機能化

    渡部平司, 景井悠介, 小園幸平, 桐野崇史, 渡邊 優, 箕谷周平, 中野佑紀, 中村 孝, 吉越章隆, 寺岡有殿, 細井卓治, 志村考功

    SiC及び関連ワイドギャップ半導体研究会 第18回講演会 予稿集    2009年12月  [査読有り]  [招待有り]

  • New Insights into Flatband Voltage Shift and Minority Carrier Generation in GeO2/Ge MOS devices

    Takuji Hosoi, Marina Saito, Iori Hideshima, Gaku Okamoto, Katsuhiro Kutsuki, Shingo Ogawa, Takashi Yamamoto, Takayoshi Shimura, Heiji Watanabe

    Abstracts, 40th IEEE Semiconductor Interface Specialists Conference    2009年12月  [査読有り]

  • Impact of Plasma Nitridation on Physical and Electrical Properties of Ultrathin Thermal Oxides on Ge(100).

    Katsuhiro Kutsuki, Gaku Okamoto, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Program and Exhibit Guide of 2009 MRS fall meeting    2009年12月  [査読有り]

  • Fabrication of Single-Crystal Local Germanium-on-Insulator Structures by Lateral Liquid-Phase Epitaxy

    Tatsuya Hashimoto, Chiaki Yoshimoto, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Program and Exhibit Guide of 2009 MRS fall meeting    2009年12月  [査読有り]

  • Initial Stages of High-temperature CaF2 Epitaxial Growth On Si(001): Surface X-ray Diffraction Study

    S. M. Suturin, T. Shimura, N. S. Sokolov, A. G. Banshchikov, R. N. Kyutt, O. Sakata, J. Harada, M. Tabuchi, Y. Takeda

    Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology    2009年11月  [査読有り]

  • Electrical Characteristics of Ge-based MIS Devices with Ge3N4 Dielectrics Formed by Plasma

    G. Okamoto, K. Kutsuki, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology    2009年11月  [査読有り]

  • Impact of gate electrode deposition process on effective work function of poly-Si/TiNHfSiO gate stacks

    Y. Oku, H. Arimura, M. Saeki, N. Kitano, M. Kosuda, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology    2009年11月  [査読有り]

  • Structural and electrical properties of GeON dielectrics formed by high-density plasma nitridation of ultrathin thermal GeO2

    K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology    2009年11月  [査読有り]

  • Observation of local dielectric degradation of thermal oxides on 4H-SiC using conductive AFM

    K. Kozono, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology    2009年11月  [査読有り]

  • Impact of Carbon Impurity on Electrical Properties of TiN/HfSiON/SiO2

    M. Saeki, H. Arimura, Y. Oku, N. Kitano, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology    2009年11月  [査読有り]

  • Thermal Instability of Effective Work Function of Metal/HfLaSiO Gate Stacks

    H. Arimura, Y. Oku, M. Saeki, N. Kitano, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology    2009年11月  [査読有り]

  • Selective Adsorption of Ti-binding Ferritin on Thin Ti Film with Various Oxidation Treatment

    T. Hashimoto, K. Gamo, M. Fukuta, B. Zheng, N. Okamoto, I. Yamashita, Y. Uraoka, N. Zettsu, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology    2009年11月  [査読有り]

  • Advanced Gate Stack Technology for SiC-MOS Power Devices

    H. Watanabe, Y. Kagei, K. Kozono, T. Kirino, Y. Watanabe, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura

    Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology    2009年11月  [査読有り]

  • Fundamental understanding of thermally grown GeO2/Ge MOS characteristics

    T. Hosoi, I. Hideshima, G. Okamoto, K. Kutsuki, T. Shimura, H. Watanabe

    Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology    2009年11月  [査読有り]

  • X-ray diffraction study of strain distribution in oxidized Si nanowires

    Teruaki Takeuchi, Kosuke Tatsumura, Takayoshi Shimura, Iwao Ohdomari

      106 ( 7 )  2009年10月  [査読有り]

    DOI

    Scopus

    7
    被引用数
    (Scopus)
  • Lateral Polarity Control in GaN Based on Selective Growth Procedure Using Carbon Mask Layers

    Hisashi Matsumura, Yasuo Kanematsu, Takayoshi Shimura, Takayuki Tamaki, Yasuyuki Ozeki, Kazuyoshi Itoh, Masatomo Sumiya, Takayuki Nakano, Shunro Fuke

    APPLIED PHYSICS EXPRESS   2 ( 10 )  2009年10月  [査読有り]

     概要を見る

    For nonlinear optical applications using GaN, periodic inversion of crystallographic orientation (polarity) is required in terms of quasi-phase matching. We have developed a novel procedure for designing polarity pattern in GaN using metalorganic chemical vapor deposition, and applied to fabrication of periodical polarity inverted GaN films. Patterning has been achieved in atmosphere, even without the etching process, by employing the selective growth procedure using carbon mask layers. The carbon mask layers, formed by laser-induced modification of an organic layer, can be removed, then subsequent nitridation of the re-exposed sapphire substrate enables N-face (-c) domain growth within a Ga-face (+c) film. (C) 2009 The Japan Society of Applied Physics DOI: 10.1143/APEX.2.101001

    DOI

    Scopus

    12
    被引用数
    (Scopus)
  • Improved electrical properties of SiC-MOS interfaces by thermal oxidation of plasma nitrided 4H-SiC(0001) surfaces

    Y. Kagei, T. Kirino, Y. Watanabe, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, H. Watanabe

    Technical Digest of International Conference on Silicon Carbide and Related Materials 2009   645-648   507 - +  2009年10月  [査読有り]

    DOI

    Scopus

    5
    被引用数
    (Scopus)
  • Direct Observation of Dielectric Breakdown Spot in Thermal Oxides on 4H-SiC(0001) Using Conductive Atomic Force Microscopy

    K. Kozono, Y. Kagei, T. Kirino, S. Mitani, Y. Nakano, Y. Nakamura, T. Hosoi, T. Shimura, H. Watanabe

    Technical Digest of International Conference on Silicon Carbide and Related Materials 2009   645-648   821 - +  2009年10月  [査読有り]

    DOI

    Scopus

    10
    被引用数
    (Scopus)
  • Observation of Two-Dimensional Distribution of Lattoce Inclination and Strain in Strained Si Wafers by Synchrotron X-Ray Topography

    Takayoshi Shimura, Tomoyuki Inoue, Daisuke Shimokawa, Takuji Hosoi, Heiji Watanabe, Atsushi Ogura, Masataka Umeno

    DRIP XIII Conference    2009年09月  [査読有り]

  • Significant Improvement in GeO2/Ge MOS Characteristics by in Situ Vacuum Annealing

    T. Hosoi, G. Okamoto, K. Kutsuki, T. Shimura, H. Watanabe

    Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium    2009年09月  [査読有り]

  • Experimental Verification of Interface Dipole Formation in Metal/high-k Gate Stacks

    T. Hosoi, Y. Kita, T. Shimura, K. Shiraishi, Y. Nara, K. Yamada, H. Watanabe

    Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium    2009年09月  [査読有り]

  • Improved Electrical Properties and Effective Work Function Control of Metal/HfLaSiO/SiO2/Si Gate Stacks Fabricated by PVD-Based In-situ Process

    M. Saeki, H. Arimura, Y. Oku, N. Kitano, T. Hosoi, T. Shimura, H. Watanabe

    Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium    2009年09月  [査読有り]

  • Ge3N4 Gate Dielectrics Fabricated by High-Density Plasma Nitridation of Ge(100) Surfaces

    K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, H. Watanabe

    Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium    2009年09月  [査読有り]

  • Improved Physical and Electrical Properties of Ultrathin Germanium Oxides by High-Density Plasma Nitridation

    K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, H. Watanabe

    Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium    2009年09月  [査読有り]

  • Fabrication of Ge Nano-Wires on Insulators Using Lateral Liquid-Phase Epitaxy

    C. Yoshimoto, T. Hashimoto, T. Hosoi, T. Shimura, H. Watanabe

    Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium    2009年09月  [査読有り]

  • Germanium oxynitride gate dielectrics formed by plasma nitridation of ultrathin thermal oxides on Ge(100)

    Katsuhiro Kutsuki, Gaku Okamoto, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Appl. Phys. Lett.   95 ( 2 )  2009年07月  [査読有り]

    DOI

    Scopus

    52
    被引用数
    (Scopus)
  • Mechanism of carrier mobility degradation induced by crystallization of HfO2 gate dielectrics

    Takashi Ando, Tomoyuki Hirano, Shinichi Yoshida, Kaori Tai, Shinpei Yamaguchi, Satoshi Toyoda, Hiroshi Kumihashira, Takayoshi Shimura, Hayato Iwamoto, Masaharu Oshima, Shingo Kadomura, Heiji Watanabe

    Appl. Phys. Express   2 ( 7 ) 71402  2009年06月  [査読有り]

    DOI

    Scopus

    11
    被引用数
    (Scopus)
  • GeO2/Ge界面形成の物理と電気特性改善技術(依頼講演)

    渡部平司, 齊藤真里奈, 齊藤正一朗, 岡本学, 朽木克博, 細井卓治, 小野倫也, 志村考功

    電子情報通信学会 シリコン材料・デバイス(SDM)研究会誌    2009年06月  [査読有り]  [招待有り]

  • Origin of flatband voltage shift and unusual minority carrier generation in thermally grown GeO2/Ge metal-oxide-semiconductor devices

    Takuji Hosoi, Katsuhiro Kutsuki, Gaku Okamoto, Marina Saito, Takayoshi Shimura, Heiji Watanabe

    Appl. Phys. Lett.   94 ( 20 )  2009年05月  [査読有り]

    DOI

    Scopus

    93
    被引用数
    (Scopus)
  • Fabrication of Local Ge-on-Insulator Structures by Lateral Liquid-Phase Epitaxy: Effect of Controlling Interface Energy between Ge and Insulators on Lateral Epitaxial Growth

    Tatsuya Hashimoto, Chiaki Yoshimoto, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Appl. Phys. Express   2 ( 6 ) 66502  2009年05月  [査読有り]

    DOI

    Scopus

    54
    被引用数
    (Scopus)
  • Synchrotron X-ray Diffraction Studies of Thermal Oxidation of Si and SiGe (Invited)

    Takayoshi Shimura, Yuki Okamoto, Shimokawa Daisuke, Tomoyuki Inoue, Takuji Hosoi, Heiji Watanabe

    Abstracts of 215th ECS Meeging   19 ( 2 ) 479 - +  2009年05月  [査読有り]  [招待有り]

    DOI

    Scopus

  • Systematic study on work-function-shift in metal/Hf-based high-k gate stacks

    Yuki Kita, Shinichi Yoshida, Takuji Hosoi, Takayoshi Shimura, Kenji Shiraishi, Yasuo Nara, Keisaku Yamada, Heiji Watanabe

    APPLIED PHYSICS LETTERS   94 ( 12 )  2009年03月  [査読有り]

     概要を見る

    Change in the work function (WF) of the gate electrode material caused by the contact with Hf-based high-k gate dielectrics was investigated by means of the flat-band voltage (V-fb) shift in capacitance-voltage curves, and the interface dipole, which modifies the WF, was characterized by x-ray photoelectron spectroscopy. We observed a negative V-fb shift and corresponding interface dipole, which suggest the formation of oxygen vacancy (V-O) in the Hf-based oxides. In contrast, we observed an opposite (positive) V-fb shift and interface dipole when Au electrodes were formed on cleaned Hf-based dielectrics. This indicates that Au-Hf bond hybridization at the Au/HfSiON interface also causes effective WF modulation, as theoretically predicted by Shiraishi (Tech. - Dig. Int. Electron Devices Meet. 2005, 43).

    DOI

    Scopus

    10
    被引用数
    (Scopus)
  • Nitrogen Plasma Cleaning of Ge(100) Surfaces

    Katsuhiro Kutsuki, Gaku Okamoto, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Applied Surface Science   255 ( 12 ) 6335 - 6337  2009年03月  [査読有り]

    DOI

    Scopus

    6
    被引用数
    (Scopus)
  • Investigation of Flatband Voltage Instability in Metal/High-k Gate Stacks

    Takuji Hosoi, Yuki Kita, Takayoshi Shimura, Heiji Watanabe, Kenji Shiraishi, Yasuo Nara, Keisaku Yamada

    Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-    2009年02月  [査読有り]

  • Synchrotron X-ray Diffraction Studies of Thermal Oxide of Strained SiGe on Si

    Daisuke Shimokawa, Yuki Okamoto, Tomoyuki Inoue, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-    2009年02月  [査読有り]

  • Lateral Liquid-Phase Epitaxy of Single-Crystal Germanium Wires on La2O3 Dielectrics

    T. Hashimoto, C. Yoshimoto, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-    2009年02月  [査読有り]

  • 4H-SiC MIS Devices with AION/SiO2/SiC Gate Structures

    Y. Kagei, M. Harada, Y. Watanabe, T. Hosoi, T. Shimura, S. Mitani, Y. Nakano, T. Nakamura, H. Watanabe

    Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-    2009年02月  [査読有り]

  • Synchrotron Microbeam X-ray Diffraction Analysis of Strain Relaxation Process during Ge Condensation

    Tomoyuku Inoue, Daisuke Shimokawa, Takuji Hosoi, Takayoshi Shimura, Yasuhiko Imai, Osami Sakata, Shigeru Kimura, Heiji Watanabe

    Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-    2009年02月  [査読有り]

  • Excellent Electrical Property and Flatband Voltage Controllability of HfLaSiO High-k Gate Dielectrics Fabricated by In-situ Process

    H. Arimura, Y. Oku, N. Kitano, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-    2009年02月  [査読有り]

  • Advantages of Fluorine Ion Implantation for Improving Ge3N4/Ge Interfaces

    Katsuhiro Kutsuki, Gaku Okamoto, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-    2009年02月  [査読有り]

  • Fabrication of Advanced Metal/High-k Gate Stacks by Atomically Controlled in-situ PVD-based Method

    H. Watanabe, H. Arimura, N. Kitano, Y. Oku, M. Saeki, Y. Naitou, N. Yamaguchi, M. Kosuda, T. Hosoi, T. Shimura

    Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-    2009年02月  [査読有り]

  • Ge-MIS Devices with Ge3N4 Gate Dielectrics Fabricated by High-Density Plasma Nitridation

    Takuji Hosoi, Katsuhiro Kutsuki, Gaku Okamoto, Marina Saito, Takayoshi Shimura, Heiji Watanabe

    Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-    2009年02月  [査読有り]

  • 高密度プラズマ窒化により形成したGe3N4膜の電気特性評価

    朽木克博, 岡本学, 細井卓治, 志村考功, 渡部平司

    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会)    2009年01月  [査読有り]

  • Si基板上に直接成長させた歪みSiGe層の熱酸化膜中の残留秩序構造

    下川大輔, 岡本佑樹, 井上智之, 細井卓治, 志村考功, 渡部平司

    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会)    2009年01月  [査読有り]

  • 局所横方向液相エピタキシャル成長による絶縁膜上Geワイヤの作製

    橋元達也, 吉本千秋, 細井卓治, 志村考功, 渡部平司

    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会)    2009年01月  [査読有り]

  • 真空一貫プロセスによるLa添加HfSiO高誘電率絶縁膜の電気特性向上およびフラットバンド電圧制御

    有村拓晃, 奥雄大, 佐伯雅之, 北野尚武, 細井卓治, 志村考功, 渡部平司

    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会)    2009年01月  [査読有り]

  • 窒化アルミナ絶縁層を有したHigh-k/Geゲートスタックの作製と特性評価

    岡本学, 朽木克博, 細井卓治, 志村考功, 渡部平司

    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会)    2009年01月  [査読有り]

  • Characterization of strained Si wafers by X-ray diffraction techniques

    T. Shimura, K. Kawamura, M. Asakawa, H. Watanabe, K. Yasutake, A. Ogura, K. Fukuda, O. Sakata, S. Kimura, H. Edo, S. Iida, M. Umeno

    Jounal of Materials Science: Materials in Electronics   19   S189 - S193  2008年12月  [査読有り]

    DOI

    Scopus

    6
    被引用数
    (Scopus)
  • Evaluation of super-critical thickness strained-Si on insulator (sc-SSOI) substrate

    A. Ogura, T. Yoshida, D. Kosemura, Y. Kakemura, M. Takei, H. Saito, T. Shimura, T. Koganesawa, I. Hirosawa

    SOLID-STATE ELECTRONICS   52 ( 12 ) 1845 - 1848  2008年12月  [査読有り]

     概要を見る

    Crystal quality and strain distribution in 501 layer of conventional strained-Si on insulator (SSOI) and super-critical thickness strained-Si on insulator (sc-SSOI) were evaluated by in-plane X-ray diffraction (XRD), Raman spectroscopy, and other techniques. The Surface defect distribution measured by wafer inspection system shows pit-type and line defects in both SSOI layers. More specifically, the sc-SSOI material has more line defects than conventional SSOI layers. Cross-hatched pattern defects were observed using X-ray topography (XRT) measurements. Raman mapping of 300 mm wafers shows the strain at the center of the wafer is larger than at the edge. In magnified close-up mapping, cross-hatched contrasts corresponding to misfit dislocations are observed, while the surface morphology is completely smoothed out. In-plane XRD measurements show the strain depth variations are quite uniform along the depth direction. The full width at half maximum (FWHM) of in-plane XRD peaks obtained from strained-Si layers is much larger than for un-strained SOI and bulk Si, reflecting poor crystal quality. SSOI was fabricated by the layer transfer of strained-Si on a virtual SiGe substrate. Therefore, we believe the crystal quality and strain distribution originate in the donor strained Si when virtual SiGe substrate is the starting material. (C) 2008 Elsevier Ltd. All rights reserved.

    DOI

    Scopus

    11
    被引用数
    (Scopus)
  • Formation of Advanced HfLaSiO/SiO2 Gate Dielectrics Utilizing PVD-based in-situ Fabrication Method

    T. Hosoi, Y. Oku, H. Arimura, M. Saeki, N. Kitano, T. Shimura, H. Watanabe

    Abstracts, 39th IEEE Semiconductor Interface Specialists Conference    2008年12月  [査読有り]

  • Improved Electrical Properties of Ge3N4/Ge Interfaces by Fluorine Ion Implantation

    K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, H. Watanabe

    Abstracts, 39th IEEE Semiconductor Interface Specialists Conference    2008年12月  [査読有り]

  • AION/SiO2積層ゲート絶縁膜を用いた 4H-SiC MIS デバイスの作製と評価

    細井卓治, 景井悠介, 原田 真, 渡邊 優, 志村考功, 箕谷周平, 中野佑紀, 中村 孝, 渡部平司

    SiC及び関連ワイドギャップ半導体研究会 第17回講演会 予稿集    2008年12月  [査読有り]

  • 窒素プラズマ照射および水素ガスアニールによるSiO2/SiC界面欠陥終端化とその熱劣化過程の評価

    渡邊 優, 景井悠介, 桐野崇史, 箕谷周平, 中野佑紀, 中村 孝, 細井卓治, 志村考功, 渡部平司

    SiC及び関連ワイドギャップ半導体研究会 第17回講演会 予稿集    2008年12月  [査読有り]

  • プラズマ窒化4H-SiC表面の熱酸化によるSiO2/SiC界面の特性向上

    景井悠介, 渡邊優, 原田真, 細井卓治, 志村考功, 渡部平司

    SiC及び関連ワイドギャップ半導体研究会 第17回講演会 予稿集    2008年12月  [査読有り]

  • Residual Order in Thermal Oxide of Fully Strained SiGe Alloy on Si

    T. Shimura, Y. Okamoto, T. Inoue, T. Hosoi, H. Watanabe

    Extended Abstracts of 2008 International Workshop on Dielectric Thin Films for Future ULSI Devices Science and Technology   81 ( 3 )  2008年11月  [査読有り]

    DOI

    Scopus

    9
    被引用数
    (Scopus)
  • Investigation of Structural Defects in Strained Si Wafers by Synchrotron X-ray Topography

    Takayoshi Shimura, Tomoyuki Inoue, Takuji Hosoi, Atsushi Ogura, Satoshi Iida, Masataka Umeno, Heiji Watanabe

    Abstracts of the 5th International Symposium on Advanced Science and Technology of Silicon Materials    2008年11月  [査読有り]

  • Electrical Properties of Ge3N4/Ge Gate Stacks Fabricated Using High-Density Plasma Nitridation

    G. Okamoto, K. Kutsuki, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of 2008 International Workshop on Dielectric Thin Films for Future ULSI Devices Science and Technology    2008年11月  [査読有り]

  • Characteristics of in-situ phosphorus-doped silicon selective epitaxial growth at atmospheric pressure

    Tetsuya Ikuta, Shigeru Fujita, Hayato Iwamoto, Shingo Kadomura, Takayoshi Shimura, Heiji Watanabe, Kiyoshi Yasutake

    JOURNAL OF CRYSTAL GROWTH   310 ( 21 ) 4507 - 4510  2008年10月  [査読有り]

     概要を見る

    The characteristics of in-situ P-doped Si selective epitaxial growth (SEG) under atmospheric pressure (AP) was investigated and compared with in-situ As-doped SEC under AP. Dopant concentrations and growth rates of films grown at AP are higher than those at low pressure, this for both dopants. This was interpreted as effects of surface segregation of the dopant atoms and the strong etching effect of HCl during the SEG under AP. By optimizing the growth rate and temperature, we achieved a high dopant concentration of 7.3 x 10(19) atoms/cm(3) and a high growth rate for the P-doped SEG. (c) 2008 Elsevier B.V. All rights reserved.

    DOI

    Scopus

    11
    被引用数
    (Scopus)
  • Dielectric and Interface Properties of TiO2/HfSiO/SiO2 Layered Structures Fabricated by in situ PVD Method

    H. Arimura, Y. Naitou, N. Kitano, Y. Oku, N. Yamaguchi, M. Kosuda, T. Hosoi, T. Shimura, H. Watanabe

    Abstracts of 214th ECS Meeging   16 ( 5 ) 121 - +  2008年10月  [査読有り]

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • Observation of Crystalline Imperfections in Supercritical Thickness Strained Silicon on Insulator Wafers by Synchrotron X-ray Topography

    T. Shimura, T. Inoue, Y. Okamoto, T. Hosoi, H. Edo, S. Iida, A. Ogura, H. Watanabe

    Abstracts of 214th ECS Meeging   16 ( 10 ) 539 - +  2008年10月  [査読有り]

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • AlON/SiO2 Stacked Gate Dielectrics for 4H-SiC MIS Devices

    T. Hosoi, M. Harada, Y. Kagei, Y. Watanabe, T. Shimura, S. Mitani, Y. Nakano, T. Nakamura, H. Watanabe

    Abstract of 7th European Conference on Silicon Carbide and Related Materials, Barcelona,Spain, September 7-11, 2008   615-617   541 - 544  2008年09月  [査読有り]

    DOI

    Scopus

    20
    被引用数
    (Scopus)
  • Impact of a Treatment Combining Nitrogen Plasma Exposure and Forming Gas Annealing on Defect Passivation of SiO2/SiC Interfaces

    H. Watanabe, Y. Watanabe, M. Harada, Y. Kagei, T. Kirino, T. Hosoi, T. Shimura, S. Mitani, N. Nakano, T. Nakamura

    Abstract of 7th European Conference on Silicon Carbide and Related Materials, Barcelona,Spain, September 7-11, 2008   615-617   525 - 528  2008年09月  [査読有り]

    DOI

    Scopus

    9
    被引用数
    (Scopus)
  • 真空一貫原子制御PVDプロセスによるTiO2/HfSiO/SiO2積層構造 High-k絶縁膜の作製と電気特性評価

    渡部平司, 有村拓晃, 奥 雄大, 細井卓治, 志村考功, 北野尚武, 内藤裕一, 山口述夫, 小須田求

    電気学会研究会資料-電子材料研究会EFM-08-24~34    2008年09月  [査読有り]

  • Fabrication of Advanced TiO2/HfSiO/SiO2 Layered Higher-k Dielectrics by Atomically Controlled In-situ PVD-Based Method

    H. Wanatabe, H. Arimura, N. Kitano, Y. Naitou, Y. Oku, N. Yamaguchi, M. Kosuda, T. Hosoi, T. Shimura

    Abstracts of Fourth International WorkShop on New Group Ⅳ Semiconductor Nanoelectronics    2008年09月  [査読有り]

  • Characterization of Strain Relaxation Process during Ge Condensation by Synchrotron Microbeam X-ray Diffraction

    T. Inoue, D. Shimokawa, T. Hosoi, T. Shimura, Y. Imai, O. Sakata, S. Kimura, H. Wanatabe

    Extended Abstracts of the 2008 International Conference on SOLID STATE DEVICES AND MATERIALS    2008年09月  [査読有り]

  • Surface X-ray diffraction studies of CaF2(110)/Si(001) interface formation

    T. Shimura, S. M. Suturin, N. S. Sokolov, A. G. Banshchikov, R. N. Kyutt, O. Sakata, J. Harada, M. Tabuchi, Y. Takeda

    Acta Cryst. A   64   C556 - C556  2008年08月

    DOI

  • Origins of interface dipoles at p-metal/Hf-based high-k gate stacks

    H. Watanabe, T. Hosoi, K. Kita, T. Shimura, K. Shiraishi, Y. Nara, K. Yamada

    Abstracts of International Conference on Quantum Simulators and Design 2008    2008年06月  [査読有り]

  • Excellent Electrical Properties of TiO2/HfSiO/SiO2 Layered Higher-k Gate Dielectrics with Sub-1 nm Equivalent Oxide Thickness

    H. Arimura, N. Kitano, Y. Naitou, Y. Oku, T. Minami, M. Kosuda, T. Hosoi, T. Shimura, H. Watanabe

    Appl. Phys. Lett.   92 ( 21 )  2008年05月  [査読有り]

    DOI

    Scopus

    18
    被引用数
    (Scopus)
  • Formation of Polycrystalline-Si Thin Films Using Nanocrystalline Ge Nuclei

    Chiaki Yoshimoto, Hiromasa Ohmi, Takayoshi Shimura, Hiroaki Kakiuchi, Heiji Watanabe, Kiyoshi Yasutake

    Abstracts of International Meeting for Future of Electron Devices, Kansai 2008    2008年05月  [査読有り]

  • Improvement of thermally grown SiO2/SiC interfaces by plasma nitridation and post-metalization annealing

    Y. Kagei, Y. Watanabe, M. Harada, T. Hosoi, T. Shimura, H. Watanabe

    Abstracts of International Meeting for Future of Electron Devices, Kansai 2008    2008年05月  [査読有り]

  • Investigation of In-situ Boron-Doped Si Selective Epitaxial Growth by Comparison with Arsenic Doping

    T. Ikuta, S. Fujita, H. Iwamoto, S. Kadomura, T. Shimura, H. Watanabe, K. Yasutake

    Jpn. J. Appl. Phys.   47 ( 4 ) 2452 - 2455  2008年04月  [査読有り]

    DOI

    Scopus

    3
    被引用数
    (Scopus)
  • Ge微結晶核を用いた多結晶Si薄膜形成

    吉本千秋, 大参宏昌, 志村考功, 垣内弘章, 渡部平司, 安武潔

    電子情報通信学会技術研究報告    2008年04月  [査読有り]

  • Characterization of Strained Si Wafers by Synchrotron X-Ray Topography

    Takayoshi SHIMURA, Kohta KAWAMURA, Masahiro ASAKAWA, Heiji WATANABE, Kiyoshi YASUTAKE, Atsushi OGURA

    Photon Factory Activity Report 2006    2008年02月

  • Fundamental Aspects of Effective Work Function Instability of Metal/Hf-based High-k Gate Stacks

    Heiji Watanabe, Shinichi Yoshida, Yuki Kita, Takuji Hosoi, Takayoshi Shimura, Kenji Shiraishi, Yasuo Nara, Keisaku Yamada

    PHYSICS AND TECHNOLOGY OF HIGH-K GATE DIELECTRICS 6   16 ( 5 ) 27 - +  2008年  [査読有り]  [招待有り]

     概要を見る

    The instability of the effective work function (WF) of metal/Hf-based high-k gate stacks was studied based on theoretical models that took into consideration oxygen vacancy (V-o) in the Hf-based oxides and bond hybridization at the metal/higb-k interfaces. We observed a negative flat band voltage (V-fb) shift in the capacitance-voltage (C-V) characteristics and corresponding interface dipole through x-ray photoelectron spectroscopy. These results indicate V-o formation that is driven by the energy gain due to electron transfer from the V-o level of the oxides to the high-WF electrode (V-o model). In contrast, we observed an opposite (positive) V-fb shift and interface dipole when Au electrodes were formed on cleaned Hf-based dielectrics. This effective WF modulation was attributed to Au-Hf bond hybridization at the Au/HfSiON interface (generalized charge neutrality level (phi(CNL)-C-G) model). Moreover, the interface dipole caused by the hybridization was found to be stable under a vacuum and dry ambient, but it was gradually released when the gate stacks were exposed to an air and wet ambient. These experimental results clearly support the validity of the V-o and phi(CNL)-C-G models and provide process guidelines for effectively controlling WF in advanced metal/high-k gate stacks.

    DOI

    Scopus

  • In situ Arsenic-Droped SiC Selective Epitaxial Growth under Atmospheric Pressure

    T. Ikuta, S. Fujita, H. Iwamoto, S. Kadomura, T. Shimura, H. Watanabe, K. Yasutake

    Appl.Phys. Lett.   92 ( 4 )  2008年01月  [査読有り]

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • X線トポグラフィ研究会現状報告

    飯田 敏, 志村考功, 梶原堅太郎

    SPring-8利用者情報    2008年01月  [招待有り]

  • 放射光X線回折法を用いた歪みSiウェーハの評価

    井上智之, 岡本佑樹, 小椋厚志, 江戸太樹, 飯田敏, 福田一徳, 坂田修身, 木村滋, 梅野正隆, 志村考功, 安武潔, 渡部平司

    Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics    2008年01月  [査読有り]

  • Charge trapping properties in TiO2/HfSiO/SiO2 gate stacks probed by scanning capacitance microscopy

    Y.Naitou, H. Arimura, N. Kitano, S. Horie, T. Minami, M. Kosuda, H. Ogiso, T. Hosoi, T. Shimura, H. Watanabe

    Appl.Phys. Lett.   92 ( 1 )  2008年01月  [査読有り]

    DOI

    Scopus

    17
    被引用数
    (Scopus)
  • Metal/High-k 界面状態に依存した実効仕事関数変調機構の理解

    景井悠介, 喜多祐起, 細井卓治, 志村考功, 渡部平司, 白石賢二, 門島勝, 奈良安雄, 山田啓作

    Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics    2008年01月  [査読有り]

  • 真空一貫PVD成膜を用いて形成した TiN/HfSiON p-MISFETsの特性評価

    北野尚武, 有村拓晃, 堀江伸哉, 川原孝昭, 坂下真介, 西田征男, 由上二郎, 南卓士, 小須田求, 細井卓治, 志村考功, 渡辺平司

    Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics    2008年01月  [査読有り]

  • プラズマ窒化により形成したGe3N4膜の耐熱性おより耐湿性の評価

    朽木克博, 岡本学, 細井卓治, 志村考功, 渡部平司

    Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics    2008年01月  [査読有り]

  • TiO2/HfSiO/SiO2 積層構造によるSub-1nm EOT 高誘導率 ゲー絶縁膜の実現

    有村拓晃, 堀江伸哉, 奥雄大, 南卓士, 北野尚武, 小須田求, 細井卓治, 志村考功, 渡部平司

    Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics    2008年01月  [査読有り]

  • Application of synchrotron X-ray diffraction methods to gate stacks of advanced MOS Devices

    T. Shimura, T. Inoue, Y. Okamoto, T. Hosoi, A. Ogura, O. Sakata, S. Kimura, H. Edo, S. Iida, H. Watanabe

    ECS Transactions   13 ( 2 ) 75 - 82  2008年  [査読有り]  [招待有り]

     概要を見る

    We demonstrate two topics of the application of synchrotron X-ray diffraction methods to thin films used in gate stack structures. One is related to the structural change in the interfacial SiO2 layer between the high-k dielectric layer and the Si substrate. It is shown that O2 molecules dissociate into O atoms during diffusion through the HiO2 layer and these O atoms destroy the ordered SiO2 in the interfacial layer. The other is the characterization of strained Si wafers using a synchrotron X-ray microbeam diffraction and topography. The nonuniformity in micro- and centimeter scales is shown for the SiGe layer of the strained Si wafers. © The Electrochemical Society.

    DOI

    Scopus

  • Thermal and Humidity Stability of Ge3N4 Thin Layers Fabricated by High-Density Plasma Nitridation

    K. Kutsuki, G. Okamoto, Y. Hosoi, A. Yoshigoe, Y. Tedaoka, T. Shimura, H. Watanabe

    Techinical Program of 2007 International Semiconductor Device Research Symposium     68 - +  2007年12月  [査読有り]

  • A Comprehensive Study on Effective Work Function Modulation of Metal/High-k Gate Stacks

    T. Hosoi, Y. Kita, Y.Kagei, T.Shimura, H. Watanabe, K. Shiraishi, Y. Nara, K. Yamada

    Abstracts, 38th IEEE Semiconductor Interface Specialists Conference    2007年12月  [査読有り]

  • Enhanced Performance of Gate-First p-Channel Metal-Insulator-Srmiconductor Field-Effect Transistors with Polycrystalline Silicon/TiN/HfSiON Stacks Fabricated by Physical Vapor Deposition Based In situ Method

    N. Kitano, S. Horie, H. Arimura, T. Kawahara, S. Sakashita, Y. Nishida, J. Yugami, T. Minami, M. Kosuda, T. Hosoi, T. Shimura, H. Watanabe

    Jpn.J.Appl.Phys. 46 (2007) L1111-L1113.   46 ( 45-49 ) L1111 - L1113  2007年11月  [査読有り]

    DOI

    Scopus

    4
    被引用数
    (Scopus)
  • Structural Optimization of HfTiSiO High-k Gate Dielectrics by Utilizing In-Situ PVD-Based Fabrication Method

    H. Arimura, S. Horie, T. Minami, N. Kitano, M. Kosuda, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts & ProgramFifth International Symposium on Control of Semiconductor Interfaces - for Next Generation ULSI Process Integrations -   254 ( 19 ) 6119 - 6122  2007年11月  [査読有り]

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Humidity-dependent stability of amorphous germanium nitrides fabricated by plasma nitridation

    K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, H. Watanabe

    Appl.Phys. Lett.   91 ( 16 )  2007年10月  [査読有り]

    DOI

    Scopus

    22
    被引用数
    (Scopus)
  • Selective Epitaxial Growth of In-situ Carbon-Doped Si on Si Substrates

    T. Ikuta, S. Fujita, H. Iwamoto, S. Kadomura, T. Shimura, H. Watanabe, K. Yasutake

    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007   40 ( 6-7 ) 1122 - 1125  2007年10月  [査読有り]

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Enhanced Electrical Properties of TiN/HfSiON Gate Stacks by Using the PVD-based In-situ Fabrication Method

    N. Kitano, H. Arimura, S. Horie, T. Minami, M. Kosuda, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007    2007年10月  [査読有り]

  • Interface Properties of HfTiSiO Gate Dielectrics Formed by In-Situ PVD-Based Fabrication Method

    H. Arimura, S. Horie, T. Minami, N. Kitano, M. Kosuda, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007    2007年10月  [査読有り]

  • Systematic Study on Interface Dipole of Metal/High-k Gate Stacks

    Y. Kita, S. Yoshida, T. Hosoi, T. Shimura, H. Watanabe, K. Shiraishi, Y. Nara, K. Yamada

    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007    2007年10月  [査読有り]

  • Proposal of AION/SiO2 Layered Gate Dielectric for SiC MOS Devices

    M. Harada, Y. Watanabe, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007    2007年10月  [査読有り]

  • Thermal Stability of Pure Ge3N4 Dielectric Layers Formed by High-Density Plasma Nirridation

    K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, H. Watanabe

    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007    2007年10月  [査読有り]

  • Characterization of Pure Ge3N4 Dielectric Layers Formed by High-Density Plasma Nitridation

    K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, K. Yasutake, H. Watanabe

    Extended Abstracts of the 2007 International Conference on SOLID STATE DEVICES AND MATERIALS, TSUKUBA, 2007   47 ( 4 ) 2415 - 2419  2007年09月  [査読有り]

    DOI

    Scopus

    29
    被引用数
    (Scopus)
  • In-situ Doped Si Selective Epitaxial Growth for Raised Source/Drain Extension CMOSFET

    T. Ikuta, Y. Miyanami, S. Fujita, H. Iwamoto, S. Kadomura, T. Shimura, H. Watanabe, K. Yasutake

    Extended Abstracts of the 2007 International Conference on SOLID STATE DEVICES AND MATERIALS, TSUKUBA, 2007    2007年09月  [査読有り]

  • Low Threshold Voltage Gate-First pMISFETs with Poly-Si/TiN/HfSiON Stacks Fabricated with PVD-based In-situ Solid Phase Interface Reaction(SPIR) Method

    N. Kitano, H. Arimura, S. Horie, T. Hosoi, T. Shimura, H. Watanabe, T. Kawahara, S. Sakashita, Y. Nishida, J. Yugami, T. Minami, M. Kosuda

    Extended Abstracts of the 2007 International Conference on SOLID STATE DEVICES AND MATERIALS TSUKUBA, 2007   2007   12 - 13  2007年09月  [査読有り]

    CiNii

  • Suppression of surface segregation and heavy arsenic doping into silicon during selective epitaxial chemical vapor deposition under atmospheric pressure

    Tetsuya Ikuta, Shigeru Fujita, Hayato Iwamoto, Shingo Kadomura, Takayoshi Shimura, Heiji Watanabe, Kiyoshi Yasutake

    Appl. Phys. Lett.   91 ( 9 )  2007年08月  [査読有り]

    DOI

    Scopus

    6
    被引用数
    (Scopus)
  • ゲルマニウム窒化膜の形成と評価

    朽木克博, 岡本学, 細井卓治, 志村 考功, 安武潔, 渡部平司

    ゲルマニウム窒化膜の形成と評価    2007年06月  [査読有り]

  • Impact of Physical Vapor Deposition-Based In situ Fabrication Method on Metal/High-k Gate Stacs

    H.Watanabe, S. Horie, T.Minami, N. Kitano, M. Kosuda, T. Shimura, K. Yasutake

    Jpn. J. Appl. Phys.   46 ( 4B ) 1910 - 1915  2007年04月

    DOI

    Scopus

    16
    被引用数
    (Scopus)
  • Atmospheric In situ Arsenic-Doped SiGe Selective Epitaxial Growth for Raised-Extension N-type Metal-Oxide-Semiconductor Field-Effect Transistor

    T. Ikuta, Y. Minami, S. Fujita, H. Iwamoto, S. Kadomura, T. Shimura, H. Watanabe, K. Yasutake

    Jpn. J. Appl. Phys.   46 ( 4B ) 1916 - 1920  2007年04月

    DOI

    Scopus

    12
    被引用数
    (Scopus)
  • Investigation of 4H-SiC MIS Devices with AlON/SiO2 Layered Structures.

    M. Harada, Y. Watanabe, S. Okda, T. Shimura, K. Yasutake, H. Watanabe

    Abstracts of 2007 MRS Spring Meeting    2007年04月

  • Systematic Study on Effective Work Function Instability of Metal/High-k Gate Stacks.

    Y.Kita, S. Yoshida, T. Shimura, K. Yasutake, H. Watanabe, K. Shiraishi, Y. Nara, K. Yamada

    Abstracts of 2007 MRS Spring Meeting    2007年04月

  • Characterization of TiN/HfSiON gate stacks fabricated by the PVD-based in-situ method

    H. Arimura, S. Horie, T. Minami, N. Kitano, M. Kosuda, T. Shimura, K. Shiraishi, H. Watanabe

    Extended Abstracts of 2007 IMFEDK International Meeting for Future of Electron Devices,Kansai    2007年04月

  • Electric properties of 4H-SiC MIS devices with AlON/SiO2 stacked gate dielectrics

    Y. Watanabe, M. Harada, S. Okada, T. Shimura, K. Yasutake, H. Watanabe

    Extended Abstracts of 2007 IMFEDK International Meeting for Future of Electron Devices,Kansai    2007年04月

  • Heavy arsenic doping of silicon grown by atmospheric pressure selective epitaxial cheical vapor deposition

    T.Ikuta, Y. Miyanami, S.Fujita, H. Iwamoto, S. Kadomura, T. Simura, H. Watanabe, K. Yasutake

    Science and Technology of Advanced Marerials   8 ( 3 ) 142 - 145  2007年03月  [査読有り]

    DOI

    Scopus

    8
    被引用数
    (Scopus)
  • 放射光X線回折による埋め込み酸化膜の構造解析

    志村考功

    応用物理学会 薄膜・表面物理分科会News Letter    2007年03月  [招待有り]

  • 低損傷スパッタ成膜装置を用いた真空一貫メタル電極/高誘電率絶縁膜ゲートスタック作製技術の提案

    渡部平司, 志村考功, 南卓士, 北野尚武, 小須田求

    キヤノンアネルバ技報   13   5 - 11  2007年03月  [査読有り]

    CiNii

  • Residual Order in the Interfacial SiO2 Layer between a High-k Material and a Si Substrate

    Takayoshi SHIMURA, Eiji MISHIMA, Kohta KAWAMURA, Heiji WATANABE, Kiyoshi YASUTAKE

    Photon Factory Activity Report 2005    2007年02月

  • 次世代nMOSFET用HfSix/HfO2/Siゲートスタックの界面反応メカニズム

    喜多 祐起, 吉田 慎一, 安藤 崇志, 田井 香織, 岩元 勇人, 志村 考功, 渡部 平司, 安武 潔

    ゲートスタック研究会-材料・プロセス・評価の物理 第12回研究会予稿集    2007年02月

  • SiGe/SOI構造の酸化濃縮過程における自己停止現象とその解析

    志村考功, 清水教弘, 堀内慎一郎, 渡部平司, 安武 潔, 梅野正隆

    ゲートスタック研究会-材料・プロセス・評価の物理 第12回研究会予稿集    2007年02月

  • 真空一貫PVD成膜により作製したTiN/HfSiONゲートスタックの構造および電気特性評価

    堀江 伸哉, 南 卓士, 北野 尚武, 小須田 求, 志村 考功, 白石 賢二, 渡部 平司

    ゲートスタック研究会-材料・プロセス・評価の物理 第12回研究会予稿集    2007年02月

  • High performance gate-first pMISFET with TiN/HfSiON gate stacks fabricated with PVD-based in-situ method

    Takaaki Kawahara, Yukio Nishida, Shinsuke Sakashita, Jiro Yugami, Naomu Kitano, Takashi Minami, Motomu Kosuda, Shinya Horie, Hiroaki Arimura, Takayoshi Shimura, Heiji Watanabe

    ECS Transactions   11 ( 4 ) 585 - 599  2007年  [査読有り]  [招待有り]

     概要を見る

    We could obtain high performance gate-first pMISFET with TiN/HfSiON gate stacks fabricated with PVD-based in-situ method. High-quality Hf silicate gate dielectrics were formed by utilizing a solid phase interface reaction (SPIR) between a metal Hf layer and an SiO2 underlayer, and TiN electrodes were continuously grown on the gate dielectrics using a low-damage sputtering system without exposure to air. Sufficiently high effective work function (WF = ∼ 4 8eV) of the TiN electrodes was achieved after activation annealing at 1050°C-spike The in-situ process was found to be effective to reduce carbon impurity of the gate stacks and we could improve device performance, such as drive current Ion, subthreshold swing S-value, and carrier mobility, Ion = 350μA/μm at Ioff = 200pA/μm could be obtained, which was a 13% improvement over ex-situ CVD-TiN on CVD-HfSiON. Moreover, this PVD-based in-situ method with moderate fluorine ion implantation into the substrate would reduce the threshold voltage Vth even more without deterioration of Ion. © The Electrochemical Society.

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • High performance gate-first pMISFET with TiN/HfSiON gate stacks fabricated with PVD-based in-situ method

    Takaaki Kawahara, Yukio Nishida, Shinsuke Sakashita, Jiro Yugami, Naomu Kitano, Takashi Minami, Motomu Kosuda, Shinya Horie, Hiroaki Arimura, Takayoshi Shimura, Heiji Watanabe

    ECS Transactions   11 ( 4 ) 585 - 599  2007年  [査読有り]  [招待有り]

     概要を見る

    We could obtain high performance gate-first pMISFET with TiN/HfSiON gate stacks fabricated with PVD-based in-situ method. High-quality Hf silicate gate dielectrics were formed by utilizing a solid phase interface reaction (SPIR) between a metal Hf layer and an SiO2 underlayer, and TiN electrodes were continuously grown on the gate dielectrics using a low-damage sputtering system without exposure to air. Sufficiently high effective work function (WF = ∼ 4 8eV) of the TiN electrodes was achieved after activation annealing at 1050°C-spike The in-situ process was found to be effective to reduce carbon impurity of the gate stacks and we could improve device performance, such as drive current Ion, subthreshold swing S-value, and carrier mobility, Ion = 350μA/μm at Ioff = 200pA/μm could be obtained, which was a 13% improvement over ex-situ CVD-TiN on CVD-HfSiON. Moreover, this PVD-based in-situ method with moderate fluorine ion implantation into the substrate would reduce the threshold voltage Vth even more without deterioration of Ion. © The Electrochemical Society.

    DOI

    Scopus

    2
    被引用数
    (Scopus)
  • Interface engineering by PVD-based in-situ fabrication method for advanced metal/high-k gate stacks

    Heiji Watanabe, Shinya Horie, Hiroaki Arimura, Naomu Kitano, Takashi Minami, Motomu Kosuda, Takayoshi Shimura, Kiyoshi Yasutake

    ECS Transactions   6 ( 3 ) 71 - 85  2007年  [招待有り]

     概要を見る

    We fabricated high-quality silicate gate dielectrics by utilizing a solid phase interface reaction (SPIR) between ultrathin metal layers and SiO 2 underlayers. Metal diffusion to the SiO2 underlayer forms a high-quality silicate interlayer, and preserving the initial SiO 2/Si bottom interface ensures good electrical properties. The Hf silicate dielectrics were fabricated by the SPIR method using Hf layers less than 0.5-nm-thick that were fully consumed by interface reactions, resulting in Hf silicate layers that remained amorphous after activation annealing. The superior electrical properties of the poly-Si/HfSixOy/SiO2/Si MOSFETs were demonstrated through low leakage current and high electron mobility. We also recently proposed a novel in-situ fabrication method that continuously fabricates high-k dielectrics using SPIR and metal electrodes with a low-damage sputtering system. We investigated structural and electrical properties of metal/high-k gate stacks and demonstrated the effectiveness of the in-situ method in improving electrical properties. © The Electrochemical Society.

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Interface engineering by PVD-based in-situ fabrication method for advanced metal/high-k gate stacks

    Heiji Watanabe, Shinya Horie, Hiroaki Arimura, Naomu Kitano, Takashi Minami, Motomu Kosuda, Takayoshi Shimura, Kiyoshi Yasutake

    ECS Transactions   6 ( 3 ) 71 - 85  2007年

     概要を見る

    We fabricated high-quality silicate gate dielectrics by utilizing a solid phase interface reaction (SPIR) between ultrathin metal layers and SiO 2 underlayers. Metal diffusion to the SiO2 underlayer forms a high-quality silicate interlayer, and preserving the initial SiO 2/Si bottom interface ensures good electrical properties. The Hf silicate dielectrics were fabricated by the SPIR method using Hf layers less than 0.5-nm-thick that were fully consumed by interface reactions, resulting in Hf silicate layers that remained amorphous after activation annealing. The superior electrical properties of the poly-Si/HfSixOy/SiO2/Si MOSFETs were demonstrated through low leakage current and high electron mobility. We also recently proposed a novel in-situ fabrication method that continuously fabricates high-k dielectrics using SPIR and metal electrodes with a low-damage sputtering system. We investigated structural and electrical properties of metal/high-k gate stacks and demonstrated the effectiveness of the in-situ method in improving electrical properties. © The Electrochemical Society.

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Physical and Electrical Characterization of HfSix/HfO2 Gate Stacks for High-Performance nMOSFET Application

    S. Yoshida, Y. Kita, T. Ando, K. Tai, H. Iwamoto, T. Shimura, H. Watanabe, K. Yasutake

    Abstracts of 37th IEEE Semiconductor Interface Specialists Conference 3-3.    2006年12月

  • Structural Change of the Interfacial SiO$_2$ Layer between HfO$_2$ layers and Si Substrates

    Takayoshi Shimura, Eiji Mishima, Kohta Kawamura, Heiji Watanabe, Kiyoshi Yasutake

    Extended Abstracts of 2006 International Workshop on Dielectric Thin Films for Future ULSI Devices - Science and Technology    2006年11月  [査読有り]

  • Low-Temperature Growth of Epitaxial Si Films by Atmospheric Pressure Plasma Chemical Vapor Deposition Using Porous Carbon Electrode

    H. Ohmi, H. Kakiuchi, N. Tawara, T. Wakamiya, T. Shimura, H. Watanabe, K. Yasutake

    Jpn. J. Appl. Phys.   45 ( 10 ) 8424 - 8429  2006年10月  [査読有り]

    DOI

    Scopus

    40
    被引用数
    (Scopus)
  • Interface Reactions at TiN/HfSiON Gate Stacks Depending on the Electrode Structure and Deposition Method

    S. Yoshida, Y. Watanabe, Y. Kita, T. Shimura, H. Watanabe, K. Yasutake, Y. Akasaka, Y. Nara, K. Yamada

    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.147-148, Osaka, Japan.   8 ( 3 ) 219 - 224  2006年10月  [査読有り]

    DOI

    Scopus

    5
    被引用数
    (Scopus)
  • Oxidation Rate Diminidhment of SiGe Epitaxial Films on Silicon-on-insulator Wafers

    S. Horiuchi, M. Shimizu, T. Shimura, H. Watanabe, K. Yasutake

       2006年10月  [査読有り]

  • Fabrication of Polycrystalline Thin Films on Glass Substrates Using Ge Nano-Islands and Nuclei

    K. Minami, C. Yoshimoto, H. Ohmi, T. Shimura, H. Kakiuchi, H. Watanabe, K. Yasutake

    Extenden Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2006    2006年10月  [査読有り]

  • Characterization of Epitaxial Si Films Grown at Low Temperatures by Atmospheric Pressure Plasma Chemical Vappor Deposition

    N. Tawara, H. Ohmi, Y. Terai, T. Shimura, H. Kakiuchi, H. Watanabe, Y. Fujiwara, K. Yasutake

    Extenden Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2006    2006年10月  [査読有り]

  • Structural Change of the Thermal Oxide Layer on Si Substrates by Diffusion of Atomic Oxygen

    Takayoshi Shimura, Eiji Mishima, Kohta Kawamura, Heiji Watanabe, Kiyoshi Yasutake

    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology    2006年10月

  • Oxidation Rate Diminishment of SiGe Epitaxial Films on Silicon-on-insulator Wafers

    S. Horiuchi, M. Shimizu, T. Shimura, H. Watanabe, K. Yasutake

    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.155-156, Osaka, Japan.    2006年10月  [査読有り]

  • Characterization of Epitaxial Si Films Grown at Low Temperatures by Atmospheric Pressure Plasma Chemical Vapor Deposition

    N. Tawara, H. Ohmi, Y. Terai, T. Shimura, H. Kakiuchi, H. Watanabe, Y. Fujiwara, K. Yasutake

    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.69-70, Osaka, Japan.    2006年10月  [査読有り]

  • Fabrication of Polycrystalline Thin Films on Glass Substrates Using Ge Nano-Islands and Nuclei

    K. Minami, C. Yoshimoto, H. Ohmi, T. Shimura, H. Kakiuchi, H. Watanabe, K. Yasutake

    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.65-66, Osaka, Japan.    2006年10月  [査読有り]

  • White X-ray Topography of Lattice Undulation in Bonded Silicon-on-Insulator Wafers

    Kazunori Fukuda, Takayoshi Yoshida, Takayoshi Shimura, Kiyoshi Yasutake, Masataka Umeno, Satoshi Iida

    Jpn. J. Appl. Phys.   45 ( 9 ) 6795 - 6799  2006年09月

    DOI

    Scopus

    1
    被引用数
    (Scopus)
  • Self-limiting oxidation of SiGe alloy on silicon-on-insulator wafers

    Takayoshi Shimura, Michihiro Shimizu, Shinichiro Horiuchi, Heiji Watanabe, Kiyoshi Yasutake, Masataka Umeno

    Appl. Phys. Lett.   89 ( 11 )  2006年09月

    DOI

    Scopus

    26
    被引用数
    (Scopus)
  • A comparison of ultra-thin SiO2 films formed by hyperthermal O-atom beam and rapid thermal oxidation; synchrotron radiation photoemission and crystal truncation rod scattering study

    TAGAWA Masahito, YOKOTA Kumiko, YOSHIGOE Akitaka, TERAOKA Yuden, SHIMURA Takayoshi

    Applied Physics Letters   88, 133512  2006年09月  [査読有り]

  • Application of Synchrotron X-ray Diffraction Methods to Thin Film Materials used in Semiconductor Devices

    Takayoshi Shimura, Eiji Mishima, Heiji Watanabe, Kiyoshi Yasutake

    Extended Abstract of International Meeting for Future of Electron Devices, Kansai    2006年04月  [招待有り]

  • メタル電極形成条件がMetal/HfSiON界面反応と電気特性に及ぼす影響

    吉田慎一, 渡辺康匡, 喜多祐起, 志村考功, 渡部平司, 安武潔, 赤坂泰志, 奈良安雄, 白石賢二, 山田啓作

    ゲートスタック研究会-材料・プロセス・評価の物理-(第11回研究会)    2006年02月

  • Oxidation saturation of SiGe alloy on silicon-on-insulator wafers

    T. Shimura, M. Shimizu, S. Horiuchi, H. Watanabe, K. Yasutake

    ECS Transactions   3 ( 7 ) 1033 - 1037  2006年

     概要を見る

    Oxidation saturation of SiGe alloy on silicon-on-insulator wafers was investigated. Oxidation saturates after a few hours for the Si 1-xGex (x=0.068-0.156) layers. For higher initial Ge concentrations of the SiGe layer, the oxidation saturated in a shorter oxidation time. We propose a model for oxidation saturation, in which the oxidation saturation is governed by an interfacial melting layer that has high Ge concentration. copyright The Electrochemical Society.

    DOI

    Scopus

  • Low-temperature Growth of Epitaxial Silicon films by Atmospheric Pressure Plasma Chemical Vapor Deposition

    Hiromasa Ohmi, Hiroaki Kakiuchi, Naotaka Tawara, Takuya Wakamiya, Takayoshi Shimura, Heiji Watanabe, Kiyoshi Yasutake

    Proceedings of the 6th ICRP and 23rd SPP    2006年01月  [査読有り]

  • Effects of Intrinsic and Extrinsic Reactions at Metal/High-k Interfaces on Electrical Properties of Gate Stacks

    H. Watanabe, S. Yoshida, Y. Watanabe, E. Mishima, K. Kawamura, Y. Kita, T. Shimura, K. Yasutake, Y. Akasaka, Y. Nara, K. Shiraishi, K. Yamada

    Abstracts of 36th IEEE Semiconductor Interface Specialists Conference, 2005, Arlington, VA.    2005年12月

  • Thermal Degradation of HfSiON Dielectrics Caused by TiN Gate Electrodes and Its Impact on Electrical Properties

    Heiji Watanabe, Shiniti Yoshida, Yasumasa Watanabe, Takayoshi Shimura, Kiyoshi Yasutake, Yasushi Akasaka, Yasuo Nara, Kunio Nakamura, Keisaku Yamada

    Extended Abstracts of the 2005 International Conference on Solid State Devices and Materials   45 ( 4 ) 2933 - 2938  2005年09月

    DOI

    Scopus

    10
    被引用数
    (Scopus)
  • Synchrotron X-ray Topography of Lattice Undulation of Bonded Silicon-on-Insulator Wafers

    Kazunori Fukuda, Takayoshi Yoshida, Takayoshi Shimura, Kiyoshi Yasutake, Masataka Umeno

    Jpn. J. Appl. Phys. Vol.43, No.3, 2004, 1081-1087   43 ( 3 ) 1081 - 1087  2004年03月

    DOI

    Scopus

    3
    被引用数
    (Scopus)
  • Residual Order within Thermally Grown Amorphous SiO$_2$ on Crystalline Silicon

    K.Tatsumura, T.Watanabe, D.Yamasaki, T.Shimura, M.Umeno, I.Ohdomari

    Phys. Rev. B 69, 2004, 085212   69 ( 8 )  2004年02月

    DOI

    Scopus

    45
    被引用数
    (Scopus)
  • Large-scale atomistic modeling of thermally grown SiO(2) on Si(111) substrate

    K Tatsumura, T Watanabe, D Yamasaki, T Shimura, M Umeno, Ohdomari, I

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   43 ( 2 ) 492 - 497  2004年02月  [査読有り]

     概要を見る

    Large-scale SiO(2)/Si(111) models were constructed by introducing oxygen atoms in c-Si models in an atom-by-atom manner. Molecular dynamics calculation at a constant temperature was repeatedly carried out for the growing oxide model. By comparing the oxidation simulation of Si(111) substrate with that of Si(001) substrate performed previously, the influence of substrate orientation on the oxide structure was discussed. Owing to the significant feature of bonding arrangement within a Si bilayer in the Si(111) substrate, the inherent stress induced at the SiO(2)/Si interface by oxygen insertions is originally higher for the Si(111) oxidation than for the Si(001) oxidation, resulting in frequent changes in the bonding network. The resulting structure of bulk SiO(2) on Si(111) has less strain and a lower density than that on Si(001), but involves a larger number of dangling bonds. The X-ray diffraction pattern calculated for the SiO(2)/Si(111) model exhibits a diffraction peak with a Laue-function-like profile on each of the crystal-truncation-rods from the 111 and 11 (1) over bar points, agreeing well with experimental results. These diffraction peaks indicate that the thermally grown SiO(2) retains the residual order emanating from the {111} atomic planes in the original c-Si. Because of differences in the angles between the surface and the {111} atomic planes, the residual order within the SiO(2) differs depending on the substrate orientation.

    DOI

    Scopus

    18
    被引用数
    (Scopus)
  • Effects of Thermal History on Resudual Order of Thermally Grown Silicon Dioxide

    Kosuke Tatsumura, Takanobu Watanabe, Daisuke Yamasaki, Takayoshi Shimura, Masataka Umeno, Iwao Ohdomari

    Jpn. J. Appl. Phys. Vol.42 (2003) 7250-7255   42 ( 12 ) 7250 - 7255  2003年12月

    DOI

  • Comparison of ordered structure in buried oxide layers in high-dose, low-dose, and ITOX SIMOX wafers

    Takayoshi Shimura, Kazunori Fukuda, Takuji Hosoi, Kiyoshi Yasutake, Masataka Umeno

    Photon Factory Activity Report, 20 (2003) 84    2003年11月

  • Characterization of SOI Wafers by Large Area X-ray Topography

    Takayoshi Shimura, Eiji Mishima, Kiyoshi Yasutake, Shigeru Kimura, Masataka Umeno

    SPring-8 User Experiment Report No.10 (2002B) 125    2003年11月

  • Characterization of SOI Wafers by X-ray Topography and Photoluminescence Method

    Takayoshi Shimura, Takayoshi Yoshida, Kazunori Fukuda, Kiyoshi Yasutake, Masataka Umeno

    SPring-8 User Experiment Report No.10 (2002B) 114    2003年06月

  • Observation of SOI wafers by X-ray topography

    Takayoshi SHIMURA, Takayoshi YOSHIDA, Kazunori FUKUDA, Kiyoshi YASUTAKE

    Photon Factory Activity Report, 19 (2001) 213    2003年01月

  • Quasi-amorphous structure in the thermal oxide layer on an Si(113) substrate

    Takayoshi SHIMURA, Takayoshi YOSHIDA, Kiyoshi YASUTAKE

    Photon Factory Activity Report, 19 (2001) 42    2003年01月

  • Transmission-Diffraction and Total-Reflection X-ray Topography of Large-Diameter Silicon Wafers

    Seiji Kawado, Yoshimitsu Tsukasaki, Yoshifumi Suzuki, Yoshinori Chikaura, Kazunori Fukuda, Takayoshi Shimura, Yoshiharu Hirose, Ssatoshi Yamaguchi, Kentarou Kajiwara

    SPring-8 User Experiment Report No.9 (2002A) 129    2002年10月

  • Development of X-ray Topography for the Characterization of SOI Wafers

    Takayoshi Shimura, Takayoshi Yoshida, Kazunori Fukuda, Masataka Umeno

    SPring-8 User Experiment Report No.9 (2002A) 119    2002年10月

  • Structural Analysis of One-dimensional Quantum Structure in Ultra High Vacuum by X-ray Standing Wave Method

    Akira Ssaito, Tsunehisa Ohashi, Hiroyuki Takaki, Kenji Matoba, Wataru Yashiro, Kazushi Miki, Osami Sakata, Hiroo Tajiri, Takayoshi Shimura, Masatoki Ito, Kazushi Sumitani, Toshio Takahashi

    SPring-8 User Experiment Report No.9 (2002A) 96    2002年10月

  • Reconstruction of Surface and Subsurface Layers of Pt(111) at 25K Studied by Surface X-ray Diffraction

    M. Nakamura, K. Sumitani, Xie Shaoxing, K. Tanaka, T. Shimura, A. Saito, M. Ito, O. Sakata, T. Takahashi

    SPring-8 User Experiment Report No.9 (2002A) 94    2002年10月

  • Measurements of the Fractional-order Reflections of an Si(111) 7$\times$7 Reconstructed Surface

    Takayoshi Shimura, Kazunori Fukuda, Takayoshi Yoshida, Akira Saito, Osami Sakata, Masatoki Ito, Kazushi Sumitani, Toshio Takahashi

    SPring-8 User Experiment Report No.9 (2002A) 93    2002年10月

  • Structural Study of Buried Oxide Layers in Implanted Si Wafers by X-ray Diffraction Technique

    Takayoshi Shimura, Masataka Umeno, Atsushi Ogura

    SPring-8 User Experiment Report No.9 (2002A) 92    2002年10月

  • Atomic Structure of the Buried Oxide Layer in SIMOX Wafers

    Takayoshi Shimura, Takuji Hosoi, Kazunori Fukuda, Masataka Umeno

    Acta Cryst., A58 (2002) C349    2002年08月

  • Study of Si$_{1-x}$Ge$_x$/Si-MBE Growth Process by Using an In-situ Ellipsometric Measurement

    Hiroyuki Hayashi, Satoshi Kamei, Tomonori Kohsaki, Takayoshi Shimura, Masataka Umeno

    Acta Cryst., A58 (2002) C347    2002年08月

  • X-ray Topographic Observations of Bonded Silicon-on-insulator Wafers using Synchrotron Radiation

    Kazunori Fukuda, Takayoshi Yoshida, Takayoshi Shimura, Masataka Umeno, Satoshi Iida

    Acta Cryst., A58 (2002) C171    2002年08月

  • X-ray Topography of Local-Strain Distribution in Silicon-On-Insulator Crystals in Comparison with X-ray Microbeam Measurement Results

    J. Matsui, Y. Tsusaka, Y. Yokoyama, H. Kurihara, K. Watanabre, M. Katou, Y. Kagoshima, S. Iida, T. Shimura, M. Umeno, K. Kajiwara

    SPring-8 User Experiment Report No.8 (2001B) 141    2002年05月

  • Development of the Characterization Technique for Very Thin Crystals by White X-ray Laue Topography

    Takayoshi Shimura, Kazunori Fukuda, Takayoshi Yoshida, Masataka Umeno, Satoshi Iida

    SPring-8 User Experiment Report No.8 (2001B) 135    2002年05月

  • X-ray Topographic Observation of Suraface-Strain Distribution in Large-Diameter Silicon Wafers

    Seiji Kawado, Yoshifumi Suzuki, Yoshimitsu Tsukasaki, Yoshinori Chikaura, Takayoshi Shimura, Kazunori Fukuda, Masataka Umeno, Kentarou Kajiwara, Yoshiharu Hirose, Daisuke Nakamura, Satoshi Iida

    SPring-8 User Experiment Report No.8 (2001B) 102    2002年05月

  • Commissioning of the apparatus for analysis of a surface structure on an atomic scale by X-ray scattering and diffraction

    O. Ssakata, M. Nakamura, K. Sumitani, T. Shimura, A. Saito, T. Ohashi, H. Takagi, M. Ito, T. Takahashi

    SPring-8 User Experiment Report No.8 (2001B) 85    2002年05月

  • Fabrication of Silicon Utilizing Mechanochemical Local Oxidation by Diamond Tip Sliding

    Miyake Shojiro, Kim Jongduk, SHIMURA Takayoshi, YASUTAKE Kiyoshi, UMENO Masataka

    Japanese journal of applied physics. Pt. 2, Letters   40 ( 11 ) L1247 - L1249  2001年11月

     概要を見る

    Nanoprotuberances and grooves were fabricated on a silicon surface by approximately 100-nm-radius diamond tip sliding using an atomic force microscope in atmosphere. To clarify the mechanical and chemical properties of these processed parts, changes in the protuberance and groove profiles due to additional diamond tip sliding and potassium hydroxide (KOH) solution etching were evaluated. Processed protuberances were negligibly removed, and processed grooves were easily removed by additional diamond tip sliding. The KOH solution selectively etched the unprocessed silicon area, while the protuberances, grooves and flat surfaces processed by diamond tip sliding were negligibly etched. Three-dimensional nanofabrication is performed in this study by utilizing these mechanochemically processed parts as a protective etching mask.

    DOI CiNii

  • Low Temperature Laue Topography of Oxygen Isotope Exchanged SrTiO$_3$

    Toru Ozaki, Kaoru Mizuno, Satoshi Iida, Takayoshi Shimura, Hirotaka Yamaguchi, Kentarou Kajiwara, Isao Fujimoto, Hiroyuki Okamoto, Masaru Tachibana, Ruiping Wang, Mitsuru Itoh, Yoshinori Chikaura

       2001年10月

  • Plane Wave Synchrotron Radiation Topographic Observation of Grown-in Microdefects in Silicon Crystals

    Satoshi Iida, Yoshinori Chikaura, Junji Matsui, Takehiro Maehama, Seiji Kawado, Shigeru Kimura, Kentarou Kajiwara, Takayoshi Shimura, Kaoru Mizuno, Satoshi Yamaguchi, Masayuki Dedukuri

    SPring-8 User Experiment Report No.7 (2001A) 101    2001年10月

  • Measurements of the Hihger-order Reflection from the Ordered SiO$_2$ in the Thermal Oxide Layer on Si Substrate

    Takayoshi Shimura, Kazunori Fukuda, Takayoshi Yoshida, Masataka Umeno

    SPring-8 User Experiment Report No.7 (2001A) 74    2001年10月

  • Development of High Energy Synchrotron Radiation Lang Topography

    S. Kimura, Y. Chikaura, K. Kajiwara, J. Matsui, S. Iida, T. Shimura, K. Mizuno

    SPring-8 User Experiment Report No.6 (2000B) 110    2001年05月

  • Far Field Observation of Plane Wave Synchrotron Radiation Topographic images

    Satoshi Iida, Yoshinori Chikaura, Seiji Kawado, Shigeru Kimura, Kentarou Kajiwara, Takehiro Maehama, Sataoshi Ymaguchi, Masayuki dedukuri, Takayoshi Shimura, Junji Matsui, Kaoru Mizuno

    SPring-8 User Experiment Report No.6 (2000B) 85    2001年05月

  • Characterization of 300mm-diameter Silicon Crystals by Large-Area X-ray Topography

    Seiji Kawado, Satoshi Iida, Satoshi Yamaguchi, Jun-ichi Yoshimura, Kaoru Mizuno, Takayoshi Shimura, Toru Ozaki, Kentarou Kajiwara, Junji Matsui

    SPring-8 User Experiment Report No.6 (2000B) 85    2001年05月

  • X-ray Diffraction Measurements of Internal Strain in Si Nanostructure

    Takayoshi Shimura, Kaunori Fukuda, Yutaka Yamazaki, Takayoshi Yoshida, Masataka Umeno, Masao Nagase

    SPring-8 User Experiment Report No.6 (2000B) 62.    2001年05月

  • X-ray Scattering from Si Nanostructures

    Takayoshi Shimura, Takuji Hosoi, Masataka Umeno, Masao Nagase, NTT, Basic Research Laboratories

    Photon Factory Activity Report, Vol.~17, pp.~102-102    2000年11月

     概要を見る

    【工学部論文データから移行】

  • Assessment and Instrumentation on the high-temperature SXR Topography in the BL28B2 Station

    Yoshinori Chikaura, Kyushu, Institute of Technology, Kentarou Kajiwara, Kyushu, Institute of Technology, Satoshi Iida, Toyama University, Kaoru Mizuno, Shimane University, Seiji Kawado, Rigaku Corporation, Yoshifumi Suzuki (Kyushu, Institute of Technology, Toru Tanaka, Kyushu, Institute of Technology, Junji Matsui, Himeji Institute of Technology, Masataka Umeno, Toru Ozaki, Hiroshima, Institute of Technology, Takayoshi Shimura, Kunihide Izumi, Kyoto University, Shigeru Kimura, NEC corporation

    SPring-8 User Experiment Report, Vol.~2000A, No.~5, pp.~227-227    2000年10月

     概要を見る

    【工学部論文データから移行】

  • Development of Low Temperature Laue Topography at BL28B2

    Toru Ozaki, Hiroshima, Institute of Technology, Kentarou Kajiwara, Kyushu, Institute of Technology, Kaoru Mizuno, Shimane University, Satoshi Iida, Toyama University, Isao Fujimoto, Hiroshima, Institute of Technology, Jun-ichi Yoshimura, Yamanashi University, Yoshinori Chikaura (Kyushu Institute of Technology, Takayoshi Shimura, Masaru Tachibana, Yokohama University, Shigeru Kimura, NEC corporation, Kunihide Izumi, Kyoto University, Yoshifumi Suzuki (Kyushu, Institute of Technology, Junji Matsui, Himeji Institute of Technology, Seiji Kawado, Rigaku Corporation, Koichi Kawakaki, Niihama National, College of Technology, Masataka Umeno

    SPring-8 User Experiment Report, Vol.~2000A, No.~5, pp.~225-225    2000年10月

     概要を見る

    【工学部論文データから移行】

  • Detection of Microdefects in Si Crystals by means of High-energy Section Topography

    Shigeru Kimura, NEC corporation, Kentarou Kajiwara, Kyushu, Institute of Technology, Kaoru Mizuno, Shimane University, Satoshi Iida, Toyama University, Takayoshi Shimura, Kenji Yokoyama, Himeji, Institute of Technology, Masato Urakawa, Himeji, Institute of Technology, Yasuo Tsusaka, Himeji, Institute of Technology, Junji Matsui, Himeji Institute of Technology, Yoshinori Chikaura (Kyushu Institute of Technology

    SPring-8 User Experiment Report, Vol.~2000A, No.~5, pp.~223-223    2000年10月

     概要を見る

    【工学部論文データから移行】

  • Development of Large-Area X-ray Topography to Observe 300mm-diameter Silicon Crystal

    Seiji Kawado, Rigaku Corporation, Satoshi Iida, Toyama University, Ken-ichiro Ishikawa, Toyama University, Yoshinori Chikaura (Kyushu Institute of Technology, Yoshifumi Suzuki (Kyushu, Institute of Technology, Kentarou Kajiwara, Kyushu, Institute of Technology, Shigeru Kimura, NEC corporation, Junji Matsui, Himeji Institute of Technology, Masataka Umeno, Takayoshi Shimura, Kaoru Mizuno, Shimane University, Toru Ozaki, Hiroshima, Institute of Technology, Kunihide Izumi, Kyoto Uni

    SPring-8 User Experiment Report, Vol.~2000A, No.~5, pp.~175-175    2000年10月

     概要を見る

    【工学部論文データから移行】

  • Development of High Energy Synchrotron Radiation Topography

    Sataoshi Iida, Toyama University, Yoshinori Chikaura (Kyushu Institute of Technology, Seiji Kawado, Rigaku Corporation, Shigeru Kimura, NEC Corporation, Kentarou Kajiwara, Kyushu, Institute of Technology, Takayoshi Shimura, Kunihide Izumi, Kyoto University, Koichi Kawasaki, Niihama National, College of Technology, Ienichiro Ishikawa, Toyama University, Junji Matsui, Hemeji Institute of Technology, Yoshifumi Suzuki (Kyusyu Institute of Technology, Kaoru Mizuno, Shimane University, Toru Ozaki, Hiroshima, Institute of Technology, Masataka Umeno

    SPring-8 User Experiment Report, Vol.~2000A, No.~5, pp.~171-171    2000年10月

     概要を見る

    【工学部論文データから移行】

  • Effects of the Electrical Stress on the Ordered Structure in the Thernal Oxide Layer on Si Substrates

    Takayoshi Shimura, Takuji Hosoi, Masataka Umeno

    SPring-8 User Experiment Report, Vol.~2000A, No.~5, pp.~142-142    2000年10月

     概要を見る

    【工学部論文データから移行】

  • The Crystalline SiO$_2$ Phase in the BOX Layer of SIMOX Wafers

    Takayoshi Shimura, Takuji Hosoi, Masataka Umeno

    Proceedings of the 3rd SANKEN International Symposium on Advanced Nanoelectronics: Devices, Materials, and Computing, Vol.~57, pp.~128-132    2000年03月

     概要を見る

    【工学部論文データから移行】

  • Ordered SiO$_2$ Structure in the Buried Oxide of SIMOX SOI Wafers

    Takayoshi Shimura, Takuji Hosoi, Masataka Umeno

    Proceedings of the 4th Symposium on Atomic-scale Surface and Interface Dynamics, pp.~395-399   2000 ( 2 ) 241 - 249  2000年03月

     概要を見る

    【工学部論文データから移行】

  • Ordered Structure in Buried Oxide Layers of SIMOX Wafers

    Takayoshi Shimura, Takuji Hosoi, Masataka Umeno

    Photon Factory Activiity Report, Vol.~18, pp.~70-70    1999年11月

     概要を見る

    【工学部論文データから移行】

  • Ordered Structure in Buried Oxide Layers of SIMOX Wafers

    Takayoshi Shimura, Takuji Hosoi, Masataka Umeno

    SPring-8 User Experiment Report, Vol.~1999A, No.~3, pp.~90-90    1999年10月

     概要を見る

    【工学部論文データから移行】

  • In-situ Radical Beam Oxidation of MBE-Si Grown on a Hydrogen Terminated Si(111)

    Masataka Umeno, Yoshifumi Yoshioka, Takahiro Mura, Kouzoh Mizobata, Takayoshi Shimura

    Acta Crystallographica, suppl., Vol.~A55, pp.~503-503    1999年08月

     概要を見る

    【工学部論文データから移行】

  • Atomic Structure of the Thermal Oxide Layers on Si(001), (111), and (110) Wafers

    Takayoshi Shimura, Masataka Umeno

    Acta Crystallographica, suppl., Vol.~A55, p.~503    1999年08月

     概要を見る

    【工学部論文データから移行】

  • X-ray Scattering from the Crystalline SiO$_2$ in Buried Oxide Layers of SIMOX Wafers

    Takuji Hosoi, Takayoshi Shimura, Masataka Umeno

    Acta Crystallographica, suppl., Vol.~A55, pp.~503-503    1999年08月  [査読有り]

     概要を見る

    【工学部論文データから移行】

  • Analysis of Ordered Structure of Buried Oxide Layers in SIMOX Wafers

    Takayoshi Shimura, Takuji Hosoi, Masataka Umeno

    Proceedings of the 9th International Symposium on Silicon-on-Insulator Technology and Devices, Vol.~99-3, pp.~155-160   99 ( 3 ) 155 - 160  1999年05月  [査読有り]

     概要を見る

    【工学部論文データから移行】

  • Preliminary Experiments of Surface and Interface in BL09XU

    Shinichiro Nakatani, ity of Tokyo, Wataru Yashiro, rsity of Tokyo, Shuji Kusano, sity of Tokyo, Toshio Takahashi, rsity of Tokyo, Takayoshi Shimura, Akira Saito, Yashuharu Kashihara (Japan Synchrotron Radiation Research Institute, Makina Yabashi, Japan, Synchrotron Radiation, Research Institute, Nobuo Kashiwagura, Gifu University, Yoshikazu Fujii, Kobe Uni, Masatoki Ito, Keio Un, Munehiro Sugiyama, NTT Cooporation, Masamitsu Takahasi, Japan Synchrotron Radiation Research Institute, Yoshitaka Yoda, sity of Toky

    Spring-8 User Experiment Report, 1998 A No.~2, p.~103    1999年03月

     概要を見る

    【工学部論文データから移行】

  • Effects of Post-oxidation Anneal on the Ordered SiO$_2$ in the Thermal Oxide Layers on Si(001) Surfaces

    Takayoshi Shimura, Hiroo Sensui, Masataka Umeno

    Photon Factory Activity Report, Vol.~15, pp.~222-222    1998年12月  [招待有り]

     概要を見る

    【工学部論文データから移行】

  • SOIウェーハの埋め込み酸化層からのX線散乱

    志村 考功, 細井 卓治, 江尻 理帆, 梅野 正隆

    日本結晶学会誌   40   175 - 175  1998年

    DOI CiNii

  • Observation of a distributed epitaxial oxide in thermally grown SiO2 on Si(001) - Comment

    T Shimura, M Umeno, Takahashi, I, J Harada

    PHYSICAL REVIEW LETTERS   79 ( 24 ) 4932 - 4932  1997年12月  [査読有り]

  • Thermally oxidized layers on Si wafers –surface X-ray scattering and field ion microscopy-

    J. Harada, I. Takahashi, T. Shimura, M. Umeno

    Advances in the understanding of crystal growth mechanism     247 - 266  1997年  [査読有り]

  • Structure of Thermal Oxide on (111) and (011) Si Wafers

    Takayoshi Shimura, Hiroo Sensui, Masataka Umeno

    Proceedings of the 2nd International Symposium on Advanced Science and Technology of Silicon Materials, pp.371-377    1996年11月  [招待有り]

     概要を見る

    【工学部論文データから移行】

  • X-ray Diffraction Evidence for Crystalline SiO$_2$ in Thermal Oxide Layers on Si Substrates

    Takayoshi Shimura, Isao Takahashi, Kwansei Gakuin University, Jimpei Harada, Rigaku Corporation, Masataka Umeno

    Proceedings of the 3rd International Symposium on the Physics and Chemistry of SiO$_2$ and the Si-SiO$_2$ interface, pp.456-467   96 ( 1 ) 456 - 467  1996年05月

     概要を見る

    【工学部論文データから移行】

  • X-ray Scattering from Microcrystalinity in the Thermally Oxidized SiO$_{2}$ Thin Films on Si(110) Surfaces

    Takayoshi Shimura, Ryouji Kojima, Hiroshi Misaki, Masataka Umeno

    Program and Abstracts of 2nd Conference of the Asian Crystallographic Association    1995年11月

     概要を見る

    【工学部論文データから移行】

  • X-ray crystal fruncation rod scattering from MRE grown (CaF┣D22┫D2-SrF┣D22┫D2)/Si(III) superlattices(共著)

    志村考功

    75/,263    1994年

     概要を見る

    【国立情報学研究所情報から移行】

▼全件表示

書籍等出版物

  • Epitaxially Ordered Structure in the Buried Oxide Layer of SIMOX Waters

    The Physics and Chemistry of SiO2 and the Si-SiO2 Interface 4,(The Electrochemical Society, INC)  2000年

  • Analysis of Orderd Structure of Buried Oxide Layers in SIMOX Waters

    志村考功

    1999年

  • Advances in the Understanding of Crystal Growth Mechanisms

    Takayoshi Shimura( 担当: 分担執筆)

    Elsevier Science  1997年03月

  • Thermally Oxidized Layers on Si-wafers-Surface X-ray Scattering and Field Ion Microscopy-(共著)

    志村考功

    1997年

  • Structure of thermal Oxide on(111)and(011)Si Wafers(共著)

    志村考功

    1996年

  • X-RAY DIFFRACTION EVIDENCE FOR CRYSTALLINE SiO2 IN THERMAL OXIDE LAYERS ON Si SUBSTRATES(共著)

    The Physics and Chemistry of SiO2 and the Si-SiO2 Interface 3  1996年

  • X-RAY DIFFRACTION EVIDENCE FOR CRYSTALLINE SiO┣D22┫D2 IN THERMAL OXIDE LAYERS ON Si SUBSTRATES(共著)

    志村考功

    1996年

  • characterization of the Surface of Ice Crystal by X-Ray CTR Scattering(共著)

    志村考功

    1992年

  • X-ray Scattering Study of the Thermally Oxidized layer on a Si(001)Water(共著)

    志村考功

    1991年

▼全件表示

Works(作品等)

  • ガラス基板表面の核形成点制御による大粒径多結晶薄膜形成法の開発

    2004年
    -
     

  • 原子論的生産技術の創出拠点

    2004年
    -
     

  • X線を用いた酸化Siナノ構造の歪みの定量解析

    1999年
    -
     

  • ナノメータ・デバイス対応のSOIウェーハに対する極限評価技術の開発

    1999年
    -
     

  • Characterization of Oxidized Si Nano-structure by X-ray Dittraction

    1999年
    -
     

  • Ultimate Characterization Technique of Silicon Crystal for the Nano-meter LSI Devices

    1999年
    -
     

▼全件表示

講演・口頭発表等

  • Fabrication and Luminescence Characterization of Uniaxial Tensile-strained Ge Wires using Internal Stress in Metal Thin Films

    T. Shimura, S. Tanaka, H. Watanabe, T. Hosoi

    The 19th International Conference on Defects-Recognition, Imaging and Physics in Semiconductors (DRIP19)  

    発表年月: 2022年08月

    開催年月:
    2022年08月
    -
    2022年09月
  • 犠牲酸化プロセスによる SiC MOSFET の電気特性劣化

    八軒 慶慈, 藤本 博貴, 小林 拓真, 平井 悠久, 染谷 満, 岡本 光央, 志村 考功, 渡部 平司

    第71回応用物理学会春季学術講演会   (東京) 

    発表年月: 2024年03月

    開催年月:
    2024年03月
     
     

     概要を見る

    23p-52A-10

  • Si基板上GeSn細線のレーザー溶融結晶化における下地SiO2膜厚とレーザー走査速度の最適化

    早川 雄大, 近藤 優聖, 國吉 望月, 小林 拓真, 志村 孝功, 渡部 平司

    第71回応用物理学会春季学術講演会   (東京) 

    発表年月: 2024年03月

    開催年月:
    2024年03月
     
     

     概要を見る

    23p-22A-3

  • 低温追酸化によるSiO2/SiC界面発光中心の密度制御と電気特性との相関

    大西 健太郎, 中沼 貴澄, 田原 康佐, 朽木 克博, 志村 考功, 渡部 平司, 小林 拓真

    第71回応用物理学会春季学術講演会   (東京) 

    発表年月: 2024年03月

    開催年月:
    2024年03月
     
     

     概要を見る

    23p-52A-17

  • 第一原理計算に基づく4H-SiC中酸素関連欠陥の系統的調査

    岩本 蒼典, 志村 考功, 渡部 平司, 小林 拓真

    第71回応用物理学会春季学術講演会   (東京) 

    発表年月: 2024年03月

    開催年月:
    2024年03月
     
     

     概要を見る

    23p-52A-16

  • Si 基板上 GeSn 細線のレーザー溶融結晶化における レーザー走査条件と下地 SiO2膜厚の最適化

    早川 雄大, 近藤 優聖, 國吉 望月, 小林 拓真, 志村 考功, 渡部 平司

    第 29 回 電子デバイス界面テクノロジー研究会   (静岡) 

    発表年月: 2024年02月

    開催年月:
    2024年01月
    -
    2024年02月
  • 量子技術応用に向けたSiC MOS界面単一光子源の制御

    中沼 貴澄, 田原 康佐, 朽木 克博, 志村 考功, 渡部 平司, 小林 拓真  [招待有り]

    応用物理学会 先進パワー半導体分科会 第10回講演会   (金沢) 

    発表年月: 2023年12月

    開催年月:
    2023年11月
    -
    2023年12月

     概要を見る

    VII-2, 昨年度奨励賞受賞記念講演(依頼講演)

  • SiO2とSiCの直接貼り合わせによるSiO2/SiC構造の形成

    神畠 真治, 小林 拓真, 志村 考功, 渡部 平司

    応用物理学会 先進パワー半導体分科会 第10回講演会   (金沢) 

    発表年月: 2023年11月

    開催年月:
    2023年11月
    -
    2023年12月

     概要を見る

    IA-9

  • ゲートストレス印加によるSiC MOS界面の劣化とデバイス特性への影響

    小柳 香穂, 小林 拓真, 平井 悠久, 染谷 満, 岡本 光央, 志村 考功, 渡部 平司

    応用物理学会 先進パワー半導体分科会 第10回講演会   (金沢) 

    発表年月: 2023年11月

    開催年月:
    2023年11月
    -
    2023年12月

     概要を見る

    IA-20

  • プラズマ窒化・SiO2堆積・CO2熱処理の複合プロセスによる高品質SiC MOS構造の形成

    藤本 博貴, 小林 拓真, 志村 考功, 渡部 平司

    応用物理学会 先進パワー半導体分科会 第10回講演会   (金沢) 

    発表年月: 2023年11月

    開催年月:
    2023年11月
    -
    2023年12月

     概要を見る

    IA-13

  • SiO2/GaOx/GaN構造の固定電荷に対するポストアニールの効果

    荒木 唯衣, 小林 拓真, 冨ケ原 一樹, 野﨑 幹人, 志村 考功, 渡部 平司

    応用物理学会 先進パワー半導体分科会 第10回講演会   (金沢) 

    発表年月: 2023年11月

    開催年月:
    2023年11月
    -
    2023年12月

     概要を見る

    IA-12

  • Below-gap光照射によるn型GaN MOS 界面の正孔トラップ評価

    冨ケ原 一樹, 小林 拓真, 野﨑 幹人, 志村 考功, 渡部 平司

    応用物理学会 先進パワー半導体分科会 第10回講演会   (金沢) 

    発表年月: 2023年11月

    開催年月:
    2023年11月
    -
    2023年12月

     概要を見る

    IA-11

  • 低温追酸化プロセスによるSiO2/SiC界面単一光子源の形成

    大西 健太郎, 中沼 貴澄, 田原 康佐, 朽木 克博, 志村 考功, 渡部 平司, 小林 拓真

    (金沢) 

    発表年月: 2023年11月

    開催年月:
    2023年11月
    -
    2023年12月

     概要を見る

    IA-10

  • Effects of doped Mg concentrations on the reduction of hole traps in the vicinity of the SiO2/p-GaN MOS interface

    Hidetoshi Mizobata, Mikito Nozaki, Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe

    The 14th International Conference on Nitride Semiconductors (ICNS-14)   (Fukuoka) 

    発表年月: 2023年11月

    開催年月:
    2023年11月
     
     

     概要を見る

    TuP-ED-20

  • Hole Traps in SiO2/GaN MOS structures Evaluated by Below-gap Light Illumination

    Kazuki Tomigahara, Takuma Kobayashi, Mikito Nozaki, Takayoshi Shimura, Heiji Watanabe

    The 14th International Conference on Nitride Semiconductors (ICNS-14)   (Fukuoka) 

    発表年月: 2023年11月

    開催年月:
    2023年11月
     
     

     概要を見る

    TuP-ED-19

  • Characterizations of nitrogen profiles and interface properties in NO-nitrided SiO2/SiC(03̅38̅) structures

    Hayato Iwamoto, Takato Nakanuma, Hirohisa Hirai, Mitsuru Sometani, Mitsuo Okamoto, Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe

    2023 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES – SCIENCE AND TECHNOLOGY -   (Kanazawa) 

    発表年月: 2023年10月

    開催年月:
    2023年10月
     
     
  • 局所溶融結晶化GeSn PINダイオードの発光特性解析

    岩本 蒼典, 細井 卓治, 小林 拓真, 志村 考功, 渡部 平司

    第84回 応用物理学会秋季学術講演会   (熊本) 

    発表年月: 2023年09月

    開催年月:
    2023年09月
     
     

     概要を見る

    23a-A602-6

  • Below-gap光照射を用いたSiO2/p型GaN構造の正孔トラップ評価

    冨ケ原 一樹, 小林 拓真, 野﨑 幹人, 志村 考功, 渡部 平司

    第84回 応用物理学会秋季学術講演会   (熊本) 

    発表年月: 2023年09月

    開催年月:
    2023年09月
     
     

     概要を見る

    22p-B201-7

  • SiO2/p-GaN MOS界面近傍の正孔トラップ低減に対するMgドープ濃度の影響

    溝端 秀聡, 野﨑 幹人, 小林 拓真, 志村 考功, 渡部 平司

    第84回 応用物理学会秋季学術講演会   (熊本) 

    発表年月: 2023年09月

    開催年月:
    2023年09月
     
     

     概要を見る

    22p-B201-1

  • SiO2/SiC(0-33-8) 構造の NO 窒化過程の観察と電気特性評価

    岩本 隼登, 中沼 貴澄, 平井 悠久, 染谷 満, 岡本 光央, 小林 拓真, 志村 考功, 渡部 平司

    第84回 応用物理学会秋季学術講演会   (熊本) 

    発表年月: 2023年09月

    開催年月:
    2023年09月
     
     

     概要を見る

    21p-B201-7

  • 高エネルギーX線CT計測 -高角散乱X線を用いたライトシート3Dイメージングとの比較検証-

    志村 考功, 梶原 堅太郎, 辻 成希, 小林 拓真, 渡部 平司

    第84回 応用物理学会秋季学術講演会   (熊本) 

    発表年月: 2023年09月

    開催年月:
    2023年09月
     
     

     概要を見る

    19p-A601-5

  • Formation of color centers at SiO2/SiC interfaces by thermal oxidation and its correlation with electrical properties

    Kentaro Onishi, Takato Nakanuma, Kosuke Tahara, Katsuhiro Kutsuki, Takayoshi Shimura, Heiji Watanabe, Takuma Kobayashi

    International Conference on Silicon Carbide & Related Materials 2023   (Sorrento) 

    発表年月: 2023年09月

    開催年月:
    2023年09月
     
     
  • A SiO2/SiC interface formed by direct bonding of SiO2 and SiC

    Shinji Kamihata, Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe

    International Conference on Silicon Carbide & Related Materials 2023   (Sorrento) 

    発表年月: 2023年09月

    開催年月:
    2023年09月
     
     
  • Ab initio study of oxygen-vacancy defect in 4H-SiC: A potential qubit

    Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe

    International Conference on Silicon Carbide & Related Materials 2023   (Sorrento) 

    発表年月: 2023年09月

    開催年月:
    2023年09月
     
     
  • Controlling the properties of single photon emitters at SiO2/SiC interfaces by oxidation and annealing

    Takato Nakanuma, Kosuke Tahara, Katsuhiro Kutsuki, Takayoshi Shimura, Heiji Watanabe, Takuma Kobayashi

    International Conference on Silicon Carbide & Related Materials 2023   (Sorrento) 

    発表年月: 2023年09月

    開催年月:
    2023年09月
     
     
  • Improved interface properties in SiC(0001) MOS structures by plasma nitridation of SiC surface prior to SiO2 deposition

    Hiroki Fujimoto, Takuma Kobayashi, Yu Iwakata, Takayoshi Shimura, Heiji Watanabe

    International Conference on Silicon Carbide & Related Materials 2023   (Sorrento) 

    発表年月: 2023年09月

    開催年月:
    2023年09月
     
     
  • Accurate analysis of leakage characteristics of SiC (1-100) MOS devices over a wide temperature range

    Asato Suzuki, Takuma Kobayashi, Mitsuru Sometani, Mitsuo Okamoto, Takayoshi Shimura, Heiji Watanabe

    International Conference on Silicon Carbide & Related Materials 2023   (Sorrento) 

    発表年月: 2023年09月

    開催年月:
    2023年09月
     
     
  • Fabrication of SiO2/4H-SiC MOS devices by sputter deposition of SiO2 followed by high-temperature CO2-post deposition annealing

    Tae-Hyeon Kil, Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe

    International Conference on Silicon Carbide & Related Materials 2023   (Sorrento) 

    発表年月: 2023年09月

    開催年月:
    2023年09月
     
     
  • Ge-on-Siストーンサークルイメージセンサ

    江藤剛治, 武藤秀樹, 澤野憲太郎, 渡部平司, 志村考功, Edoardo Charbon

    映像情報メディア学会 情報センシング研究会(IST)  

    発表年月: 2023年09月

    開催年月:
    2023年09月
     
     
  • Si基板上GeSn細線のレーザー溶融結晶化と光学特性評価

    近藤 優聖, 田淵 直人, 國吉 望月, 小林 拓真, 志村 考功, 渡部 平司

    第70回 応用物理学会春季学術講演会  

    発表年月: 2023年03月

    開催年月:
    2023年03月
     
     
  • SiO2/SiC界面発光中心密度と電気的特性の相関

    中沼 貴澄, 田原 康佐, 木村 大至, 朽木 克博, 志村 考功, 渡部 平司, 小林 拓真

    第70回 応用物理学会春季学術講演会  

    発表年月: 2023年03月

    開催年月:
    2023年03月
     
     
  • Beyond the temporal resolution limit of silicon image sensors

    T. Shimura, G. T. Etoh, H. Watanabe

    Ultrafast Imaging and Tracking Instrumentation, Methods and Applications Conference (ULITIMA 2023)  

    発表年月: 2023年03月

    開催年月:
    2023年03月
     
     
  • スパッタ成膜によるGe(100)基板上への高品質単結晶GeSn層のエピタキシャル成長

    田中 信敬, 國吉 望月, 安部 和弥, 星原 雅生, 小林 拓真, 志村 考功, 渡部 平司

    電子デバイス界面テクノロジー研究会-材料・プロセス・デバイス特性の物理-(第28回研究会)   (三島市) 

    発表年月: 2023年02月

    開催年月:
    2023年02月
     
     

     概要を見る

    8-2

  • 半導体デバイスにおける局所歪み計測の重要性とナノ放射光技術への期待

    志村 考功  [招待有り]

    未来社会にむかう理研放射光センター・産業界連携シンポジウム, 第3回 大阪大学・理研・産業界の連携による先端半導体評価プラットフォーム整備構想   (梅田) 

    発表年月: 2023年02月

    開催年月:
    2023年02月
     
     
  • Challenges in SiO2/SiC Interface Engineering for SiC Power MOSFETs

    Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe  [招待有り]

    The 48th Conference on the Physics and Chemistry of Surfaces and Interfaces (PCSI-48)  

    発表年月: 2023年01月

    開催年月:
    2023年01月
     
     
  • 酸化および熱処理プロセスによる SiO2/SiC 界面発光中心の制御

    中沼 貴澄, 田原 康佐, 木村 大至, 朽木 克博, 志村 考功, 渡部 平司, 小林 拓真

    先進パワー半導体分科会 第9回講演会  

    発表年月: 2022年12月

    開催年月:
    2022年12月
     
     
  • NO 窒化 SiO2/SiC(11-20) 界面へのエキシマ紫外光照射の影響

    藤本 博貴, 小林 拓真, 染谷 満, 岡本 光央, 志村 考功, 渡部 平司

    先進パワー半導体分科会 第9回講演会  

    発表年月: 2022年12月

    開催年月:
    2022年12月
     
     
  • NO 窒化 SiC(1-100) MOS デバイスのリーク伝導機構

    鈴木 亜沙人, 中沼 貴澄, 小林 拓真, 染谷 満, 岡本 光央, 吉越 章隆, 志村 考功, 渡部 平司

    先進パワー半導体分科会 第9回講演会  

    発表年月: 2022年12月

    開催年月:
    2022年12月
     
     
  • 酸素及び水素熱処理によるスパッタ成膜 SiO2/GaN MOS 構造の界面特性及び絶縁性向上

    大西 健太郎, 小林 拓真, 溝端 秀聡, 野﨑 幹人, 吉越 章隆, 志村 考功, 渡部 平司

    先進パワー半導体分科会 第9回講演会  

    発表年月: 2022年12月

    開催年月:
    2022年12月
     
     
  • Fabrication of Tensile-strained Single-crystalline GeSn Wires on Amorphous Quartz Substrates by Local Liquid-phase Crystallization

    T. Shimura, H. Oka, T. Hosoi, Y. Imai, S. Kimura, H. Watanabe

    The 8th International Symposium on Advanced Science and Technology of Silicon Materials  

    発表年月: 2022年11月

    開催年月:
    2022年11月
     
     
  • CO2熱処理によるSiC MOSFETの信頼性向上

    細井卓治, 志村考功, 渡部平司  [招待有り]

    電子情報通信学会, シリコン材料・デバイス研究会(SDM)  

    発表年月: 2022年10月

    開催年月:
    2022年10月
    -
     
  • Reliability Issues in Nitrided SiC MOS Devices

    Takuma Kobayashi, Takato Nakanuma, Asato Suzuki, Mitsuru Sometani, Mitsuo Okamoto, Akitaka Yoshigoe, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe  [招待有り]

    9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX)  

    発表年月: 2022年09月

    開催年月:
    2022年09月
     
     
  • 電子の水平運動が卓越するブランチングイメージセンサ

    志村考功, ゴグエンホアイ, 渡部平司, 下ノ村和弘, 武藤秀樹, 江藤剛治

    映像情報メディア学会 情報センシング研究会(IST)  

    発表年月: 2022年06月

    開催年月:
    2022年06月
     
     
  • Approach to achieving super temporal resolution image sensors beyond 20 picosecond

    Takayoshi Shimura  [招待有り]

    2022 IEEE International Conference on Imaging Systems and Techniques (IST 2022)  

    発表年月: 2022年06月

    開催年月:
    2022年06月
     
     
  • Characterization of Electron Traps in Gate Oxide of SiC MOS Capacitors

    Yutaka Terao, Takuji Hosoi, Shinya Takashima, Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe

    IEEE International Reliability Physics Symposium (IRPS 2022)  

    発表年月: 2022年03月

    開催年月:
    2022年03月
     
     
  • Investigation of reliability of NO nitrided SiC(1-100) MOS devices

    Takato Nakanuma, Asato Suzuki, Yu Iwakata, Takuma Kobayashi, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    IEEE International Reliability Physics Symposium (IRPS 2022)  

    発表年月: 2022年03月

    開催年月:
    2022年03月
     
     
  • NO窒化処理を施した非基底面SiC MOSデバイスの信頼性

    中沼貴澄, 小林拓真, 染谷満, 岡本光央, 吉越章隆, 細井卓治, 志村考功, 渡部平司  [招待有り]

    (一社)電気学会 電子デバイス研究会  

    発表年月: 2022年03月

    開催年月:
    2022年03月
     
     
  • 高速イメージセンサの現状と展望 -ピコ秒を目指して-

    江藤剛治, 志村考功, 下ノ村和弘, 渡部平司  [招待有り]

    (独)日本学術振興会「結晶加工と評価技術」第 145 委員会 第 174回研究会  

    発表年月: 2022年01月

    開催年月:
    2022年01月
     
     
  • NO窒化処理を施した4H-SiC(11-20) MOSデバイスの絶縁性および閾値安定性の評価

    中沼 貴澄, 岩片 悠, 小林 拓真, 染谷 満, 岡本 光央, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司

    「電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―」 (第27回研究会)  

    発表年月: 2022年01月

    開催年月:
    2022年01月
     
     
  • 光吸収層を有する石英基板上GeSn細線のレーザー溶融結晶化

    田淵 直人, 山口 凌雅, 近藤 雅斗, 國吉 望月, 細井 卓治, 小林 拓真, 志村 考功, 渡部 平司

    「電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―」 (第27回研究会)  

    発表年月: 2022年01月

    開催年月:
    2022年01月
     
     
  • AlGaNキャップ層によるMgドープp-GaNの活性化抑制と水素脱離過程の制御による特性改善

    溝端 秀聡, 和田 悠平, 野﨑 幹人, 細井 卓治, 成田 哲生, 加地 徹, 志村 考功, 渡部 平司

    「電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―」 (第27回研究会)  

    発表年月: 2022年01月

    開催年月:
    2022年01月
     
     
  • 局所液相成長法によって作製した単結晶GeSn細線の受光・発光特性

    志村 考功, 細井 卓治, 小林 拓真, 渡部 平司  [招待有り]

    レーザー学会学術講演会第42回年次大会  

    発表年月: 2022年01月

    開催年月:
    2022年01月
     
     
  • 超高圧活性化熱処理を施したMgイオン注入GaNを用いたp型GaN MOSデバイスの電気特性評価

    溝端 秀聡, 和田 悠平, 野﨑 幹人, 小林 拓真, 細井 卓治, 加地 徹, 志村 考功, 渡部 平司

    先進パワー半導体分科会第8回講演会  

    発表年月: 2021年12月

    開催年月:
    2021年12月
     
     
  • GaN(000-1)面上に形成したSiO2/GaN MOSキャパシタの電気特性評価

    冨ヶ原 一樹, 和田 悠平, 溝端 秀聡, 野﨑 幹人, 吉越 章隆, 細井 卓治, 小林 拓真, 志村 考功, 渡部 平司

    先進パワー半導体分科会第8回講演会  

    発表年月: 2021年12月

    開催年月:
    2021年12月
     
     
  • NO-POAを施したSiO2/4H-SiC(1-100)界面の電気特性評価および物理分析

    鈴木 亜沙人, 中沼 貴澄, 岩片 悠, 小林 拓真, 染谷 満, 岡本 光央, 細井 卓治, 志村 考功, 渡部 平司

    先進パワー半導体分科会第8回講演会  

    発表年月: 2021年12月

    開催年月:
    2021年12月
     
     
  • SiO2/GaN MOS構造におけるゲート絶縁膜信頼性への堆積後熱処理の効果

    見掛 文一郎, 溝端 秀聡, 野﨑 幹人, 小林 拓真, 志村 考功, 渡部 平司

    先進パワー半導体分科会第8回講演会  

    発表年月: 2021年12月

    開催年月:
    2021年12月
     
     
  • エキシマ紫外光照射によるNO窒化SiC MOSデバイスの特性劣化

    藤本 博貴, 小林 拓真, 染谷 満, 岡本 光央, 細井 卓治, 志村 考功, 渡部 平司

    先進パワー半導体分科会第8回講演会  

    発表年月: 2021年12月

    開催年月:
    2021年12月
     
     
  • On the way to the super temporal resolution image sensor of visible light

    T. Shimura, N. H. Ngo, A. Q. Nguyen, F. M. Bufler, H. Watanabe, P. Matagne, E. Charbon, T. G. Etoh  [招待有り]

    International Meet & Expo on Laser, Optics and Photonics (OPTICSMEET2021)  

    発表年月: 2021年11月

    開催年月:
    2021年11月
     
     
  • 符号化開口を用いた後方散乱X線イメージング

    志村 考功, 小林 拓真, 細井 卓治, 渡部 平司  [招待有り]

    日本光学会年次学術講演会  

    発表年月: 2021年10月

    開催年月:
    2021年10月
     
     
  • Toward Super Temporal Resolution by Controlling Horizontal Motions of Electrons

    T. Goji Etoh, Nguyen Hoai Ngo, Kazuhiro Shimonomura, Taeko Ando, Takayoshi Shimura, Heiji Watanabe, Hideki Mutoh, Yoshinari Kamakura, Edoardo Charbon

    2021 International Image Sensor Workshop (IISW)  

    発表年月: 2021年09月

    開催年月:
    2021年09月
     
     
  • Dynamic Crosstalk Analysis for Branching Image Sensors

    Nguyen H. Ngo, Takayoshi Shimura, Taeko Ando, Heiji Watanabe, Kazuhiro Shimonomura, Yoshinari Kamakura, Hideki Mutoh, T. Goji Etoh

    2021 International Image Sensor Workshop (IISW)  

    発表年月: 2021年09月

    開催年月:
    2021年09月
     
     
  • Fixed Charge Generation in SiO2/GaN MOS Structures by Forming Gas Annealing and its Suppression by Controlling Ga-oxide Interlayer Growth

    Hidetoshi Mizobata, Mikito Nozaki, Takuma Kobayashi, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe

    2021 International Conference on Solid State Devices and Materials (SSDM 2021)  

    発表年月: 2021年09月

    開催年月:
    2021年09月
     
     
  • Comprehensive Physical and Electrical Characterizations of NO Nitrided SiO2/4H-SiC(11-20) Interfaces

    Takato Nakanuma, Yuu Iwakata, Takuji Hosoi, Takuma Kobayashi, Mitsuru Sometani, Mitsuo Okamoto, Takayoshi Shimura, Heiji Watanabe

    2021 International Conference on Solid State Devices and Materials (SSDM 2021)  

    発表年月: 2021年09月

    開催年月:
    2021年09月
     
     
  • A Branching Image Sensor for Sub-nanosecond Burst Imaging

    映像情報メディア学会 情報センシング研究会(IST)  

    発表年月: 2021年06月

    開催年月:
    2021年06月
     
     
  • Super-temporal-resolution Image Sensor -- Beyond the Theoretical Highest Frame Rate of Silicon Image Sensors --

    映像情報メディア学会 情報センシング研究会(IST)  

    発表年月: 2021年06月

    開催年月:
    2021年06月
     
     
  • Optoelectronic Integration Based on High-quality GeSn Grown by Liquid Phase Crystallization

    Heiji Watanabe, Hiroshi Oka, Takuji Hosoi, Takayoshi Shimura  [招待有り]

    International Conference on Processing & Manufacturing of Advanced Materials (Thermec’2021)  

    発表年月: 2021年06月

    開催年月:
    2021年06月
     
     
  • Control of SiO2/SiC Interface for SiC-based Power MOSFET

    Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe  [招待有り]

    International Conference on Processing & Manufacturing of Advanced Materials (Thermec’2021)  

    発表年月: 2021年06月

    開催年月:
    2021年06月
     
     

▼全件表示

共同研究・競争的資金等の研究課題

  • 局所液相成長によるGeSn細線の形成とレーザーダイオードの動作実証

    日本学術振興会  科学研究費助成事業

    研究期間:

    2022年04月
    -
    2025年03月
     

    志村 考功

  • 構造化X線光源による高感度・高分解能透過型X線撮像法の実証

    科学研究費補助金、挑戦的研究(萌芽)

    研究期間:

    2018年
    -
    2019年
     

    志村 考功

  • 相界面反応制御技術を基軸とした混晶材料の設計と新機能発現

    日本学術振興会  科学研究費助成事業

    研究期間:

    2013年04月
    -
    2018年03月
     

    渡部 平司, 志村 考功, 細井 卓治

     概要を見る

    ナノ空間溶融結晶化技術を駆使して、無転位単結晶GOI構造ならびにGeSn混晶半導体の作製に成功した。本手法では半導体層への引張り歪み印加や、固溶限を越える高Sn組成のGeSn結晶の液相成長が可能となる。GeやGeSn層とチャネルとして薄膜トランジスタを試作し、高いキャリア移動度を実証し、高性能電子デバイスへの有用性を示した。さらに、高Sn組成引張り歪みGeSnを用いた光デバイスでは、近赤外域の受光感度の増加や、直接遷移発光の飛躍的な増大を達成し、光電子融合デバイス実現に向けた原理実証に成功した。

  • SOIピクセル検出器による自己像直接検出型タルボ・ロー干渉計の高度化

    科学研究費補助金、新学術領域研究(研究領域提案型)

    研究期間:

    2016年
    -
    2017年
     

    志村 考功

  • 局所液相エピタキシャル成長によるGeSnワイヤの形成とその光電子デバイス応用

    科学研究費補助金、基盤研究(B)

    研究期間:

    2015年
    -
    2017年
     

    志村 考功

  • ビーム励起界面反応によるSiC-MOS界面欠陥の崩壊と選択修復

    科学研究費補助金、挑戦的萌芽研究

    研究期間:

    2015年
    -
    2016年
     

    渡部 平司

  • 埋め込みX線ターゲットを用いた超解像X線撮像法の実証

    科学研究費補助金、挑戦的萌芽研究

    研究期間:

    2015年
    -
    2016年
     

    志村 考功

  • ビーム励起界面反応によるSiCパワーデバイスへテロ界面改質技術

    科学研究費補助金、挑戦的萌芽研究

    研究期間:

    2013年
    -
    2014年
     

    渡部 平司

  • ショットキー接合型SiCプラズモニックトランジスタの創製

    科学研究費補助金、若手研究(A)

    研究期間:

    2012年
    -
    2014年
     

    細井 卓治

  • チャネルラストプロセスによる歪み制御縦型Geトランジスタの作製と電気特性評価

    科学研究費補助金、基盤研究(B)

    研究期間:

    2012年
    -
    2014年
     

    志村 考功

  • High-k/Metal Gate材料及び新プロセス開発に関する研究

    キヤノンアネルバ 

    研究期間:

    2011年
    -
     
     

  • シリコン上ゲルマニウムエピタキシャル層を用いた1. 5ミクロン帯発光素子の開拓

    日本学術振興会  科学研究費補助金、基盤研究(B)

    研究期間:

    2009年
    -
    2011年
     

    石川 靖彦

     概要を見る

    Si基板上にエピタキシャル成長したGe層を用い、電流注入型1. 5μm帯発光素子を実現することを目的として、研究を実施した。Si上Ge-pinダイオードにおいて、直接遷移による1. 5μm帯電流注入発光を観測した。発光の高効率化には、Geの伝導帯「点へ電子を注入し、価電子帯「点の正孔と再結合させることが効果的である。Г点に伝導帯下端をもつGaAsを電子注入に用いるn-GaAs/i-Ge/p-Siダイオードの作製を進めた。

  • 局所選択液相エピタキシャル成長によるGOI構造の作製と電気特性評価

    日本学術振興会  科学研究費補助金、基盤研究(B)

    研究期間:

    2009年
    -
    2011年
     

    志村 考功

     概要を見る

    単結晶ゲルマニウムを絶縁膜上に形成したGOI構造は、次世代の電子デバイス用基板材料として注目されている。そのため、良好な結晶性を持つゲルマニウム層を形成できるGOI構造の作製技術が望まれている。本研究では、非晶質ゲルマニウムを短時間の熱処理で単結晶化する方法を提案し、この手法の優位性を検証した。このGOI構造を用いてトランジスタを作製しその電気特性を評価したところ良好な結果を得た。これらの結果は、この手法の有用性を示しており、次世代電子デバイスへの適用が期待できる。

  • 高性能SiC-MOSFET用立体ゲート構造の研究開発

    ローム株式会社、東京エレクトロン 

    研究期間:

    2010年
    -
     
     

  • 機能性基板/生体超分子界面反応メカニズムの解明とその制御技術の研究

    研究期間:

    2010年
    -
     
     

  • X線回折による歪シリコンウエハの歪量測定に関する研究

    日本学術振興会  科学研究費補助金、基盤研究(C)

    研究期間:

    2008年
    -
    2010年
     

    梅野 正隆

     概要を見る

    LSIの高速化に期待される歪シリコンウエーハの極薄歪層の結晶性と歪量をウエーハ全面で評価する手法を確立することを目標とした。放射光X線トポグラフによりウエーハ全面の歪分布を求め、歪量は逆格子マップから求めた。入射角を変えた一連のX線CCD画像から各位置でのロッキングカーブを求め、そのピーク位置、半値幅、積分強度を画像化した。これより市販の代表的な歪シリコンウエーハには0.1%程度の<110>に平行な結晶面の傾きが存在すること、歪量は約0.75%でほぼ一様であることを明らかにし、所期の目標を達成した。

  • 高機能化原子制御製造プロセス教育研究拠点

    研究期間:

    2009年
    -
     
     

  • シリコン上ゲルマニウムエピタキシャル層を用いた1.5ミクロン帯発光素子の開拓

    研究期間:

    2009年
    -
     
     

  • X線回折による歪シリコンウエハの歪量測定に関する研究

    研究期間:

    2009年
    -
     
     

  • 機能性基板/生体超分子界面反応メカニズムの解明とその制御技術の研究

    JST戦略的創造研究推進事業 

    研究期間:

    2008年
    -
     
     

  • 原子制御プロセスによる超薄MOS構造の作製とその伝導特性および界面物性の解析

    研究期間:

    2008年
    -
     
     

  • 原子制御プロセスによる超薄MOS構造の作製とその伝導特性および界面物性の解析

    科学研究費補助金、特定領域研究

    研究期間:

    2007年
    -
    2008年
     

    渡部 平司

  • 金属電極/高誘電率絶縁膜の界面物性に関する研究

    半導体先端テクノロジーズ 

    研究期間:

    2007年
    -
     
     

  • 高性能SiCパワーエレクトロクス実現に向けたMOS構造作製とプロセス

    科学研究費補助金 (基盤研究B) 

    研究期間:

    2007年
    -
     
     

  • 高性能SiCパワーエレクトロニクス実現に向けた理想MOS構造作製プロセスの創成

    科学研究費補助金、基盤研究(B)

    研究期間:

    2007年
     
     
     

    渡部 平司

  • ガラス基板表面の核形成点制御による大粒径多結晶薄膜形成法の開発

    研究期間:

    2006年
    -
     
     

  • Siエピタキシャル基板への埋め込酸化膜形成に関する研究

    日本学術振興会  科学研究費補助金、基盤研究(C)

    研究期間:

    2005年
    -
    2006年
     

    梅野 正隆

     概要を見る

    最先端LSI用基板としてのSOI(silicoll on insulator)ウエーハの製造につながる、新しい発想のSi基板への埋め込み酸化膜の形成の可能性について調べた。SOIウエーハは、ULSI用の基板としてデバイスの低電圧化、低消費電力化、高速化を可能とする特徴を持つ。SOIウエーハの製造方法は張り合わせ法によるものと、酸素イオン注入によるものに大別され、それぞれに完成度の高い製品が市販されているが、複雑で高度の技術を要する貼り合わせ工程やイオン注入工程がSOIウエーハの品質と価格を支配している。そこで、Si表面下一定の深さに一様なダメージ層を作り、酸化雰囲気中で高温アニールすることにより、ダメージ層に酸化膜を析出させることを試みた。本研究では、MBE法により一様な欠陥層を導入したSiエピウエーハ作成すること、次にそのウエーハを酸化雰囲気中で高温アニールし、欠陥層への酸化膜(層)析出の可能性について調べた。
    イオン注入を用いないで一定の深さにダメージ層を作るため、固体ソースシリコンMBE装置を用いて結晶成長の途中で成長条件を変化させ欠陥の導入を行った。すなわち、2000A程度SiをMBE成長させた段階で、欠陥層を導入するため、(a)基板温度を変化させる、(b)ゲルマニウム層を1〜数層挿入したりSi-Ge層をサンドイッチさせる、ことによって結晶性の悪い層を数層成長させ、その上に結晶性の良い層を成長させた。この試料を酸化雰囲気でアニールすることによりダメージ層に酸素を析出させ、埋め込み酸化膜の形成について調べた。しかし、SIMS測定では所定の深さに酸素の析出は確認できていない。この原因として、ダメージ層の緩和が速いこと並びに最上面のSi層が厚すぎることが考えられる。今後は、拡散速度の遅い元素を挟んでダメージ層を形成する。

  • ガラス基板表面の核形成点制御による大粒径多結晶薄膜形成法の開発

    日本学術振興会  科学研究費補助金、基盤研究(B)

    研究期間:

    2004年
    -
    2006年
     

    安武 潔

     概要を見る

    TFTや太陽電池などの大面積電子デバイスの特性向上を目指して、多結晶Siを用いる試みがなされている。これまで、多結晶Si薄膜の形成方法として、非晶質Si(a-Si)薄膜のレーザーアニールや固相熱結晶化、低温でのCVD成長等の技術が開発されているが、より効率的で大粒径のpoly-Si薄膜形成技術の確立が望まれている。多結晶Si薄膜の形成には、ガラス基板上での結晶核形成および結晶核からのSi結晶成長プロセスを独立に制御することが重要と考えられる。そこで本研究では、Si結晶の成長核となる微細なGe結晶を、ガラス基板上に密度と大きさを制御して配列し、これを結晶核とした大粒径多結晶Si薄膜の作製法の開発を目的とした。まず、ガラス基板上の結晶核として、a-Geの固相結晶化により自己組織的に形成されるGe微結晶に着目し、その粒径と密度を酸素エッチングによって制御する方法を確立した。次に、Ge微結晶核がa-Si薄膜の固相結晶化に及ぼす影響を明らかにした。一般に、a-Siの完全な結晶化には600℃で50時間程度のアニールが必要となるが、Ge微結晶核を用いることにより、480℃,8時間で完全に結晶化させることに成功した。また、50%結晶化したSi薄膜のSEMおよびマイクロプローブRHEED観察から、SiがGe微結晶核から結晶化することを確認した。種々の作製方法で形成したa-Si薄膜の結晶化過程を速度論的に解析した。Ge結晶核からのSi結晶成長速度の活性化エネルギーは、a-Si薄膜の構造によって大きく変化し、特にSi-H結合濃度が高く、緻密性が低い場合に、Si結晶成長速度が大幅に向上することを見出した。また、結晶Geティップアレイを用いたナノインプリント法により、Ge結晶核の位置制御の可能性を示した。

  • ガラス基板表面の核形成点制御による大粒径多結晶薄膜形成法の開発

    研究期間:

    2005年
    -
     
     

  • 原子論的生産技術の創出拠点

    研究期間:

    2004年
    -
     
     

  • 原子論的生産技術の創出拠点

    研究期間:

    2003年
    -
     
     

  • 完全表面の創成

    文部科学省 

    研究期間:

    2002年
    -
     
     

  • 完全表面の創成

    文部科学省 

    研究期間:

    2001年
    -
     
     

  • X線回折法を用いたSiO_2/Si界面形成のその場観察による酸化機構の研究

    日本学術振興会  科学研究費補助金、基盤研究(B)

    研究期間:

    1999年
    -
    2001年
     

    梅野 正隆

     概要を見る

    シリコンデバイスが開発されて以来、良くも悪くも酸素は重要な役割を果たしてきた。良質のゲート絶緑膜を提供してきたことはもちろん、ゲッタリングやウエハの機械的強度の増加という役割もになってきた。その反面、さまざまな形でシリコン結晶中に析出することによりゲー卜酸化膜の絶縁耐圧の劣化の原因となってきた。
    シリコン結晶と酸素については今までにも多くの研究がなされてきたが、その関係については明らかになっているとは言い難い。例えば、シリコンの熱酸化機構については、最近になりlayer by layerで酸化が進行しているという幾つかの実験結果が得られ話題となっている。SIMOXウエハは、デバイスの高集積化、高速化、低消費電力化を可能にするSOI(silicon on insulator)ウエハとして最も期待されているもののひとつである。しかし、その埋め込み酸化層の形成機構は表面エネルギーの最小化の観点から大まかには理解されているが、構造と絡めた議論はされていない。
    本研究では、熱酸化膜及びSIMOXウエハの埋め込み酸化層の界面形成過程に対し原子レベルでの描像を与えることを目的とする。これらの界面形成機構は、シリコン酸化物形成の基本要素であり、これらが解明することにより、酸素のシリコン結晶に対する反応の素過程を解明できると期待できる。
    酸素イオン注入を行ったシリコンウエハについて高温アニールを行い、アニール時間によるX線の散乱強度の変化を測定した。その結果、酸素がシリコン結晶中で析出、凝集する初期の段階から結晶性を持ったSiO_2相が形成され、時間と共に増加していくことがわかった。また、酸素イオンのドーズ量が比較的少ない方がSiO_2の結晶性が良いことがわかった。311面のシリコンウエハの熱酸化膜については、その構造は異なるが111面、001面と同様に酸化膜に結晶性があることがわかった。

  • マイクロサテライトにおけるトライボロジー技術と宇宙環境からの影響評価に関する研究

    科学研究費補助金、基盤研究(B)

    研究期間:

    1998年
    -
    2000年
     

    田川 雅人

  • シリコン熱酸化膜の長距離秩序構造の解析による酸化機構の研究

    科学研究費補助金、奨励研究(A)

    研究期間:

    1998年
    -
    1999年
     

    志村 考功

  • 角度分解反射高速電子線回析と衝撃脱離質量分析の開発と極表面構造の三次元解析

    日本学術振興会  科学研究費補助金、基盤研究(B)

    研究期間:

    1997年
    -
    1998年
     

    大前 伸夫

     概要を見る

    本研究では、角度分解反射高速電子線回折装置(Angular Resolved Reflection High Energy Electron Doffraction;AR-RHEED)を設計・製作し、最表面からバルクに至るまでの固体の三次元構造を明らかにすることを目的とした。10^<-8>Pa以下の超高真空雰囲気を作製し、二硫化モリブデン(0001)単結晶(MoS_2)を用いてAR-RHEEDの性能評価を行ったところ、電子線の入射角度及び入射方向かかわらず鮮明なストリークパターンが得られることを確認した。このMoS_2(0001)単結晶上に分子線エピタキシー法(MBE)を用いてフラーレンC_<60>の薄膜を形成し、角度分解反射高速電子線回折を行ったところ、C_<60>の被覆率に対応してMOS_2とC_<60>の混在したストリークパターンを得ることができた。MOS_2の回折パターンは数モノレイヤーのC_<60>被覆で消滅した。この薄膜はファンデルバールスエピタキシーによる超格子構造を形成し、C_<60>の核形成から島状成長まで平坦な構造をとることが明かとなった。C_<60>の格子定数は1nmであるので、本装置の分解能の高さを証明することができた。また工業的な応用を検討するため、ハードディスクからサンプルを切り出しその表面、界面構造を評価した。トライボロジー的機能確保のために塗布されているパーフルオロポリエーテル(PFPE)のパターンと保護膜であるアモルファスカーボンからのハローリングとが得られた。後者の厚さが10nmであるため、その下地にあるCO-Cr-Ta-Pt磁性層からの回折は得られなかった。このことは本装置がより表面に敏感な用途に用いるべきであることを示している。電子線衝撃による気体の脱離を四重極質量分析を用いて検討したが、FやOやCのピークを有為的に示すためにはポールピースの幾何的位置を改良する必要があることが判った。また、チャレンジを行った電子線エネルギー損失分光(EELS)は現有のアナライザーでは困難で、EELS専用のものを用いる必要があると結論した。

  • パルス超音速原子状酸素ビームを利用したSi常温酸化法の開発

    日本学術振興会  科学研究費補助金、基盤研究(B)

    研究期間:

    1997年
    -
    1998年
     

    梅野 正隆

     概要を見る

    5eVの並進エネルギーを有する原子状酸素ビームによるシリコン酸化膜形成のねらいは、酸化反応に必要なエネルギーを原子状酸素の運動エネルギーとして供給する事であり、このことにより、シリコン基板温度を低く保ちつつ酸化反応に直接関与する原子状酸素にのみ必要なエネルギーを付与できる点にあった。実際に実験を行ってみると、室温での酸化膜成長とシリコン基板を100度に加熱した場合とで酸化膜の成長はほとんど変化がないことが明らかになった。このことは実験前の予想通り、酸素原子の運動エネルギーの一部が酸化反応の活性化エネルギーとして消費されていることを示していると思われる。また、このことは室温酸化の場合、膜厚1.5nm以上では酸化膜成長速度が低下することからも裏付けられた。シリコン基板を弱加熱してビーム酸化をおこなった場合の酸化膜成長は、基本的には放物線則に従っていることが確認され、拡散律速であることが確かめられた。さらに、飽和酸化膜厚は原子状酸素ビームのフラックスに強く依存しており、10^<13>atoms/cm^2sでは1nm程度の飽和酸化膜厚であるのに対して、10^<16>atoms/cm^2sでは約5nmの酸化膜が成長することも明らかになった。これらの実験結果から、高い並進エネルギーを有する原子状酸素ビームによるシリコン酸化では、並進エネルギーの一部が熱エネルギーに変換されることにより、基板全体を低温に保ったままで表面の改質(酸化)が可能であることが示され、ウエハー全面をバッチ処理できるブロードアトムビームの有用性が明らかになった。

  • X線を用いた半導体表面の極微細構造の定量的評価法の開発

    日本学術振興会  科学研究費補助金、基盤研究(B)

    研究期間:

    1997年
    -
    1998年
     

    梅野 正隆

     概要を見る

    サブミクロンオーダーの微細構造の作製とその微細構造に起因した散乱の測定を行なった。リソグラフィ技術とKOHによるケミカルエッチングによってV字型の溝をSi(001)上に形成した。溝の幅は0.20μmから5.0μmまで、溝と山の幅の比は1:1から1:20まで範囲で変化させてある。それぞれの大きさは1.0x0.2mm^2である。V溝の形状は原子間力顕微鏡(AFM)により確認した。外形効果による散乱の測定は111ブラッグ点まわりについて対称反射条件により行なった。入射側と受光側の両方に幅0.1mmのスリットとチャンネルカット結晶を用いることにより、試料表面上での測定領域を制限することができた。そのため、1.0x0.2mm^2という狭い領域にパターニングされた微細構造からのX線散乱を隣接した領域と区別して測定することができた。幾つかのV溝について外形効果による散乱を測定し、それからV溝の周期長と斜面の傾きを見積もることができた。また、フーリエ変換を用いた強度計算によりV溝からの散乱をほぼ再現することができた。さらに、V溝を熱酸化させた試料についても測定を行ない、熱酸化膜がない場合と同様に界面であるSi結晶表面の外形効果による散乱を測定することができた。しかし、その散乱強度分布は外形効果だけでは説明できないブラッグ点に対する非対称性を示した。これは、外形だけでなくひずみ等の結晶構造についての情報を含んでおり、ブラッグ点周りの強度分布を測定することによりひずみ等を見積もることができることを示していると考えられる。

  • 低地球軌道におけるスペーストライボロジーのシナ-ジェシズム

    日本学術振興会  科学研究費補助金、基盤研究(B)

    研究期間:

    1996年
    -
    1997年
     

    大前 伸夫

     概要を見る

    本研究ではイオンビーム型ならびにレーザーブレークダウン型の原子状酸素発生装置を用いて、低地球軌道環境における高分子系および二硫化モリブデン系潤滑剤のトライボロジー特性について、特に複数の環境因子の複合効果(シナジ-)に着目し研究を行ったものである。その結果、以下のようなことが明らかになった。
    (1)二硫化モリブデン系スパッタ膜の有機バインダーとして用いられるポリイミド系の高分子は、これまで原子状酸素と紫外線のシナジ-は認められないとするのが定説であったが、本研究では反応生成物である二酸化炭素の生成イールドは紫外線同時照射時に増大することが示された。これは本実験においては原子状酸素のフラックスが比較的小さいために相対的に紫外線照射の効果が大きく現れたものと考えられ、反応のフラックス依存性が存在することを示唆している。
    (2)原子状酸素を高分子に照射すると一般に質量低下が認められるが、イオンビーム型原子状酸素発生装置を用いた実験では質量の増加が認められた。これもビームフラックスが小さいことによる表面反応初期のノンリニアな効果が現れたものと考えられ、高ビームフラックスでの同様な実験により今後詳細を明らかにしてゆく必要があると考えられる。
    (3)二硫化モリブデン単結晶を原子状酸素に曝露すると、反応により一酸化硫黄が形成され表面から離脱してゆくことが確認された。その他の硫黄酸化物は検出されず、ほぼ単一の反応経路で表面酸化反応が進行してゆくことが示明らかになった。その結果、表面の硫黄濃度は減少し、スタートアップ時の摩擦力が増大することが示された。また摩擦トラック内ではアブレーションにより酸化膜が除去されるため、摩擦係数の回復が生じることも確認された。

  • X線表面散乱法を用いた極微パターン形状の定量的評価法の開発

    日本学術振興会  科学研究費補助金、基盤研究(A)

    研究期間:

    1995年
    -
    1996年
     

    梅野 正隆

     概要を見る

    本年度は、サブミクロンオーダーの微細構造の作製と、その微細構造に起因した散乱の測定を行った。その結果、本研究で目的とする評価法は、実験室レベルのX線発生装置でも十分可能であり、また、ナノメートルのオーダーで評価が可能であることがわかった。
    微細構造は、Si(001)表面上に、ライン幅0.8μm、高さ10μm、間隔4.5μm、ライン長6.5mm、ライン数47本となるように作製した。X線回析の測定条件は、ターゲットにCu、モノクロメータにGe220チャンネルカットモノクロメータ、受光部にGe220チャンネルカットアナライザーを用いた。測定は、113、111ブラッグ反射近傍について、2θ-ωスキャン及び逆格子に沿ったhklスキャンを行った。これらの測定には、自動測定が行えるように作製したプログラムを用い、測定の効率を上げた。113反射については、低角入射、高角入射の2条件で測定を行った。その結果、113反射の高角入射条件では、ブラッグ点まわりには微細構造に起因する散乱を観測することはできなかったが、低角入射条件では、0.8μmのラインの両側壁からの散乱波の干渉縞を観測することができた。この干渉の間隔よりライン幅を、その強度分布よりラインの側壁の荒さをナノメータスケールで見積もることができる。さらに111反射については、両壁面からの散乱波の干渉縞上にラインの周期に対応した強度変調を観測することに成功した。これからラインの間隔も見積もることができる。

  • SOIのRTOならびに低温熱酸化における界面電荷の制御

    日本学術振興会  科学研究費補助金、基盤研究(B)

    研究期間:

    1995年
    -
    1996年
     

    梅野 正隆

     概要を見る

    Siの高速熱酸化(Rapid Thermal Oxidation : RTO)ならびに低温熱酸化では、界面で発生する応力の緩和が不十分で格子間原子の放出が著しく、酸化膜の物性値や界面状態が時々刻々変化するため、通常の熱酸化機構で解釈することができない。さらに、SOIでは埋め込み酸化層が存在するため応力状態が複雑で、良好な酸化膜を作製する上で多くの問題が生じる原因となっている。本研究では、酸化過程の動的解析に適した装置を作製して、酸化膜の制御と酸化機構の解明につながる実験手法を確立した。その結果、低温熱酸化についての多くの興味深い基礎データを蓄積し、新しい知見を得ることができた。それらを箇条書きにすると次の様である。
    1. in-situエリプソメータによる酸化曲線から、反応及び拡散に関する酸化パラメータの時間的変化を解析する手法を確立し、温度による酸化機構の相違を明らかにした。
    2.低温熱酸化では、格子間Si原子の放出が律速過程となることを明らかにした。
    格子間Si原子放出の応力モデルを提唱し、実験的検証をした。
    3.酸化温度と酸化種を変えた酸化速度の面方位依存性から、熱酸化における真性応力の寄与を明らかにした。
    4.適当量のNF3を添加により、酸化膜の残留応力が低減し、C-V特性が著しく改善されることを明らかにした。
    5.酸化膜をX線回折により調べ、酸化温度・酸化種・面方位により構造に相違のあることを明らかにし、熱酸化膜は単純な非晶質ではないと言う重要な知見を得た。

  • X線CTR散乱法によるシリコン熱酸化膜中の結晶相の面方位依存性

    科学研究費補助金、奨励研究(A)

    研究期間:

    1995年
     
     
     

    志村 考功

  • X-ray Diffraction Study of Crystal Surfaces Interfaces and thin films

    Grant-in-Aid for Scientific Research

  • Characterization of Semiconductor Materials by Synchrotron Radiation X-ray.

    Grant-in-Aid for Scientific Research

▼全件表示

Misc

  • Fabrication of Tensile-strained Single-crystalline GeSn Wires on Amorphous Quartz Substrates by Local Liquid-phase Crystallization

    T. Shimura, H. Oka, T. Hosoi, Y. Imai, S. Kimura, H. Watanabe

    Proceedings of The 8th International Symposium on Advanced Science and Technology of Silicon Materials     143 - 146  2022年11月

    担当区分:筆頭著者

    研究発表ペーパー・要旨(国際会議)  

  • 高性能GaN MOSFET実現に向けたSiO2/GaN界面制御

    細井卓治, 山田高寛, 野崎幹人, 高橋言諸, 山田 永, 清水三聡, 吉越章隆, 志村考功, 渡部平司

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   118 ( 110 ) 11 - 14  2018年06月  [査読有り]

    CiNii

  • SiO

    Watanabe Kenta, Terashima Daiki, Nozaki Mikito, Yamada Takahiro, Nakazawa Satoshi, Ishida Masahiro, Anda Yoshiharu, Ueda Tetsuzo, Yoshigoe Akitaka, Hosoi Takuji, Shimura Takayoshi, Watanabe Heiji

    Jpn. J. Appl. Phys.   57 ( 6 )  2018年05月

     概要を見る

    Stacked gate dielectrics consisting of wide bandgap SiO&lt;inf&gt;2&lt;/inf&gt;insulators and thin aluminum oxynitride (AlON) interlayers were systematically investigated in order to improve the performance and reliability of AlGaN/GaN metal–oxide–semiconductor (MOS) devices. A significantly reduced gate leakage current compared with that in a single AlON layer was achieved with these structures, while maintaining the superior thermal stability and electrical properties of the oxynitride/AlGaN interface. Consequently, distinct advantages in terms of the reliability of the gate dielectrics, such as an improved immunity against electron injection and an increased dielectric breakdown field, were demonstrated for AlGaN/GaN MOS capacitors with optimized stacked structures having a 3.3-nm-thick AlON interlayer.

    CiNii

  • 放射光光電子分光法によるGaN上GaOx層の熱脱離過程の評価

    野崎幹人, 寺島大貴, 渡邉健太, 山田高寛, 吉越章隆, 細井卓治, 志村考功, 渡部平司

    応用物理学会春季学術講演会講演予稿集(CD-ROM)   65th   ROMBUNNO.18p‐C302‐7  2018年03月

    J-GLOBAL

  • SiO2/GaN MOSデバイスの信頼性向上に向けた界面酸化層の制御

    山田高寛, 寺島大貴, 渡邉健太, 野崎幹人, 山田永, 高橋言諸, 清水三聡, 吉越章隆, 細井卓治, 志村考功, 渡部平司

    応用物理学会春季学術講演会講演予稿集(CD-ROM)   65th   ROMBUNNO.18p‐C302‐8  2018年03月

    J-GLOBAL

  • Control of Ga-oxide interlayer growth and Ga diffusion in SiO

    Yamada Takahiro, Watanabe Kenta, Nozaki Mikito, Yamada Hisashi, Takahashi Tokio, Shimizu Mitsuaki, Yoshigoe Akitaka, Hosoi Takuji, Shimura Takayoshi, Watanabe Heiji

    Appl. Phys. Express   11 ( 1 )  2017年12月

     概要を見る

    A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal–oxide–semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO&lt;inf&gt;2&lt;/inf&gt;films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO&lt;inf&gt;2&lt;/inf&gt;/GaO&lt;inf&gt;x&lt;/inf&gt;/GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state densities below 10&lt;sup&gt;10&lt;/sup&gt;cm&lt;sup&gt;−2&lt;/sup&gt;eV&lt;sup&gt;−1&lt;/sup&gt;were obtained by postdeposition annealing, Ga diffusion into overlying SiO&lt;inf&gt;2&lt;/inf&gt;layers severely degraded the dielectric breakdown characteristics. However, this problem was found to be solved by rapid thermal processing, leading to the superior performance of the GaN-MOS devices in terms of interface quality, insulating property, and gate dielectric reliability.

    CiNii

  • プラズマ CVD 成膜した SiO2/AlGaN 界面特性の成膜電力依存性と堆積後熱処理の検討

    寺島 大貴, 渡邉 健太, 山田 高寛, 野﨑 幹人, 施 泓安, 中澤 敏志, 按田 義治, 上田 哲三, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)   78th   ROMBUNNO.5p‐C17‐5  2017年11月  [査読有り]

    J-GLOBAL

  • ICP エッチング表面のプラズマ酸化処理による SiO2/GaN 界面欠陥の低減

    山田 高寛, 渡邉 健太, 野崎 幹人, 高橋 言諸, 山田 永, 清水 三聡, 施 泓安, 中澤 敏志, 按田 義治, 上田 哲三, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)   79th   ROMBUNNO.19p‐CE‐9  2017年11月  [査読有り]

    J-GLOBAL

  • AlGaN表面の熱酸化過程の放射光光電子分光分析

    渡邉健太, 山田高寛, 野崎幹人, 中澤敏志, SHIH Hongan, 按田義治, 上田哲三, 吉越章隆, 細井卓治, 志村考功, 渡部平司

    応用物理学会春季学術講演会講演予稿集(CD-ROM)   64th   ROMBUNNO.15p‐315‐2  2017年03月

    J-GLOBAL

  • Design and control of interface reaction between Al-based dielectrics and AlGaN layer for hysteresis-free AlGaN/GaN MOS-HFETs

    K. Watanabe, M. Nozaki, T. Yamada, S. Nakazawa, Y. Anda, M. Isliida, T. Ueda, A. Yoshigoe, T. Hosoi, T. Shimura, H. Watanabe

    Proceedings of the International Symposium on Power Semiconductor Devices and ICs     219 - 222  2017年  [査読有り]

     概要を見る

    We have demonstrated hysteresis-free recessed gate AlGaN/GaN metal-oxide-semiconductor heterojunction field-effect transistor (MOS-HFET) by implementing AIGN gate insulator and selective AlGaN regrowth technique. High thermal stability and excellent electrical properties of AIGN gate dielectrics will provide a large process window for further optimization of AlGaN/GaN MOS-HFET.

    DOI

  • 熱酸化処理によるSiO2/GaN界面でのGaOx形成とMOS界面特性向上

    山田高寛, 渡邉健太, 野崎幹人, 吉越章隆, 細井卓治, 志村考功, 渡部平司

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)   77th   ROMBUNNO.16p‐B1‐5  2016年09月

    J-GLOBAL

  • 低欠陥密度GaN基板の熱酸化過程の評価

    山田高寛, 伊藤丈予, 淺原亮平, 渡邉健太, 野崎幹人, 中澤敏志, 按田義治, 石田昌宏, 上田哲三, 吉越章隆, 細井卓治, 志村考功, 渡部平司

    応用物理学会春季学術講演会講演予稿集(CD-ROM)   63rd   ROMBUNNO.22A-W541-4  2016年03月

    J-GLOBAL

  • 放射光光電子分光法によるn‐GaN上Al/Tiコンタクトの界面反応分析

    伊藤丈予, 淺原亮平, 野崎幹人, 中澤敏志, 石田昌宏, 上田哲三, 吉越章隆, 寺岡有殿, 細井卓治, 志村考功, 渡部平司

    応用物理学会春季学術講演会講演予稿集(CD-ROM)   62nd   ROMBUNNO.12A-A21-11  2015年02月

    J-GLOBAL

  • High‐k/Geゲートスタック界面特性向上に向けたゲート電極形成後熱処理条件の検討

    田中亮平, 秀島伊織, 箕浦佑也, 吉越章隆, 寺岡有殿, 細井卓治, 志村考功, 渡部平司

    応用物理学会春季学術講演会講演予稿集(CD-ROM)   61st   ROMBUNNO.18P-D8-1  2014年03月

    J-GLOBAL

  • 極薄AlOx層によるHigh‐k/Ge界面反応抑制とEOT=0.56nmの実現

    田中亮平, 秀島伊織, 箕浦佑也, 吉越章隆, 寺岡有殿, 細井卓治, 志村考功, 渡部平司

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)   74th   ROMBUNNO.17P-B5-17  2013年08月

    J-GLOBAL

  • 横方向液相成長によって作製したGOI構造のフォトルミネッセンス測定

    松江将博, 安武裕輔, 深津晋, 細井卓治, 志村考功, 渡部平司

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)   74th   ROMBUNNO.18A-B4-7  2013年08月

    J-GLOBAL

  • MBD法により作製したMetal/High‐k/GeO2/Geスタックの熱処理による構造変化

    秀島伊織, 田中亮平, 箕浦佑也, 吉越章隆, 寺岡有殿, 細井卓治, 志村考功, 渡部平司

    応用物理学会春季学術講演会講演予稿集(CD-ROM)   60th   ROMBUNNO.28P-G2-10  2013年03月

    J-GLOBAL

  • Gate Stack Technologies for SiC Power MOSFETs (Invited)

    H. Watanabe, T. Hosoi, T. Kirino, Y. Uenishi, A. Chanthaphan, D. Ikeguchi, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura

    220th ECS Meeting - Boston, MA   41 ( 3 ) 77 - 90  2011年10月  [査読有り]  [招待有り]

    DOI

  • Impact of Stacked AlON/SiO2 Gate Dielectrics for SiC Power Devices (Invited)

    H. Watanabe, T. Kirino, Y. Uenishi, A. Chanthaphan, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura

    ECS Transactions   35 ( 2 ) 265 - 274  2011年05月  [査読有り]  [招待有り]

    DOI

  • SiO2/4H‐SiC界面構造と伝導帯オフセットの相関

    桐野嵩史, CHANTHAPHAN Atthawut, 池口大輔, 吉越章隆, 寺岡有殿, 箕谷周平, 中野佑紀, 中村孝, 細井卓治, 志村考功, 渡部平司

    応用物理学会学術講演会講演予稿集(CD-ROM)   71st   ROMBUNNO.14P-ZS-6  2010年08月

    J-GLOBAL

  • 極薄EOT実現に向けたプラズマ窒化応用high‐k/Geゲートスタックの提案

    朽木克博, 岡本学, 秀島伊織, 上西悠介, 桐野嵩史, HARRIES James, 吉越章隆, 寺岡有殿, 細井卓治, 志村考功, 渡部平司

    応用物理学関係連合講演会講演予稿集(CD-ROM)   57th   ROMBUNNO.18P-P9-5  2010年03月

    J-GLOBAL

  • 放射光XPSによるSiO2/4H‐SiC構造の伝導帯オフセット評価

    桐野嵩史, 景井悠介, 岡本学, HARRIES James, 吉越章隆, 寺岡有殿, 箕谷周平, 中野佑紀, 中村孝, 細井卓治, 志村考功, 渡部平司

    応用物理学関係連合講演会講演予稿集(CD-ROM)   57th   ROMBUNNO.18A-TJ-2  2010年03月

    J-GLOBAL

  • 4H‐SiC(0001)面の熱酸化により形成したSiO2/SiC界面の放射光XPS評価

    桐野嵩史, 景井悠介, 岡本学, HARRIES James, 吉越章隆, 寺岡有殿, 箕谷周平, 中野佑紀, 中村孝, 細井卓治, 志村考功, 渡部平司

    応用物理学会学術講演会講演予稿集   70th ( 1 ) 385  2009年09月

    J-GLOBAL

  • 界面特性に優れたAl2O3/ZrO2/GeO2積層構造ゲート絶縁膜の作製と評価

    岡本学, 朽木克博, 景井悠介, HARRIES James, 吉越章隆, 寺岡有殿, 細井卓治, 志村考功, 渡部平司

    応用物理学会学術講演会講演予稿集   70th ( 2 ) 743  2009年09月

    J-GLOBAL

  • プラズマ窒化SiC表面の熱酸化により形成したSiO2/SiC界面の放射光XPS評価

    景井悠介, 小園幸平, 朽木克博, 吉越章隆, 寺岡有殿, 細井卓治, 志村考功, 渡部平司

    応用物理学関係連合講演会講演予稿集   56th ( 1 ) 438  2009年03月

    J-GLOBAL

  • 金属電極とハフニウム系高誘電率ゲート絶縁膜界面の実効仕事関数変調機構

    渡部平司, 喜多祐起, 細井卓治, 志村考功, 白石賢二, 奈良安雄, 山田啓作

    電子情報通信学会技術研究報告[シリコン材料・デバイス]   108 ( 335(SDM2008 184-195) ) 21 - 25  2008年12月  [査読有り]  [招待有り]

     概要を見る

    ハフニウム系高誘電率ゲート絶縁膜上の金属電極の実効仕事関数変調現象を,キャパシタ試料の電気特性ならびにX線光電子分光(XPS)を利用した界面ダイポール観測から評価した.高温熱処理や還元処置によってキャパシタ試料のフラットバンド電圧は負バイアス方向にシフトした.XPS測定から高誘電率絶縁膜中の酸素空孔に起因した界面ダイポールが形成され,金属電極の実効仕事関数が変調されていることが明らかとなった。また、この界面ダイポール形成では,絶縁膜中の酸素空孔起因の欠陥準位から高仕事関数電極側への電子移動に伴うエネルギー利得が,酸素空孔の生成を促進していることを示した.一方,表面清浄化を施した高誘電率絶縁膜上にAu電極を形成した場合,正方向へのフラットバンドシフトを観測し,XPS測定でも先の酸素空孔生成の場合とは逆方向の界面ダイポールの形成を確認した.この現象は,白石らによって提案されているAu/HfSiON界面でのAu-Hf軌道混成に伴う電荷移動によって逆方向の界面ダイポールが生じたためであると理解できる.さらに,軌道混成で生じた界面ダイポールの安定性を評価した結果,真空中やドライ雰囲気中で安定であるのに対し,大気中などのウエットな環境では,界面ダイポールが徐々に解放される現象を見出した.

    CiNii J-GLOBAL

  • 金属電極とHf系高誘電率絶縁膜界面の実効仕事関数変調機構

    渡部平司, 喜多祐起, 細井卓治, 志村考功, 白石賢二, 奈良安雄, 山田啓作

    半導体・集積回路技術第72回シンポジウム講演論文集   72nd   73 - 76  2008年07月  [査読有り]  [招待有り]

    CiNii J-GLOBAL

  • 格子間酸素に起因した金属電極/Hf系ゲート絶縁膜の実効仕事関数変調

    喜多祐起, 景井悠介, 細井卓治, 志村考功, 渡部平司, 白石賢二, 門島勝, 奈良安雄, 山田啓作

    応用物理学関係連合講演会講演予稿集   55th ( 2 ) 853  2008年03月

    J-GLOBAL

  • Characteristics of Pure Ge_3N_4 Dielectric Layers Formed by High-Density Plasma Nitridation

    KUTSUKI Katsuhiro, OKAMOTO Gaku, HOSOI Takuji, SHIMURA Takayoshi, YASUTAKE Kiyoshi, WATANABE Heiji

    Extended abstracts of the ... Conference on Solid State Devices and Materials   2007   1034 - 1035  2007年09月

    CiNii

  • 放射光XPSによるGe3N4膜の化学結合状態及び熱脱離過程のその場観察

    細井卓治, 朽木克博, 岡本学, 原田真, 吉越章隆, 寺岡有殿, 志村考功, 渡部平司

    応用物理学会学術講演会講演予稿集   68th ( 2 ) 824  2007年09月

    J-GLOBAL

  • Metal/High‐kゲートスタックの界面形態が実効仕事関数に及ぼす影響

    喜多祐起, 吉田慎一, 細井卓治, 志村考功, 渡部平司, 白石賢二, 門島勝, 奈良安雄, 山田啓作

    応用物理学会学術講演会講演予稿集   68th ( 2 ) 815  2007年09月

    J-GLOBAL

  • Hf系ゲート絶縁膜/電極界面の実効仕事関数変調機構の統一的理解

    喜多祐起, 吉田慎一, 志村考功, 安武潔, 渡部平司, 白石賢二, 大田晃生, 宮崎誠一, 奈良安雄, 山田啓作

    応用物理学関係連合講演会講演予稿集   54th ( 2 ) 848  2007年03月

    J-GLOBAL

  • sc-SSOI(超臨界膜厚SSOI)基板の評価

    吉田哲也, 小瀬村大亮, 掛村康人, 武井宗久, 斎藤博之, 小椋厚志, 志村考功, 小金澤智之, 広沢一郎

    応用物理学会学術講演会講演予稿集   68th ( 2 )  2007年

    J-GLOBAL

  • メタル電極とHfSiON絶縁膜界面反応の評価

    喜多祐起, 吉田慎一, 渡辺康匡, 志村考功, 渡部平司, 安武潔, 赤坂泰志, 奈良安雄, 中村邦雄, 山田啓作

    精密工学会関西地方定期学術講演会講演論文集   2006   97 - 98  2006年08月

    J-GLOBAL

  • Oxidation of Si(001) with a hyperthermal O-atom beam at room temperature: Suboxide distribution and residual order structure

    Masahito Tagawa, Chie Sogo, Kumiko Yokota, Akitaka Yoshigoe, Yuden Teraoka, Takayoshi Shimura

    Appl. Phys. Lett.   88 ( 13 ) 133512-133512-3  2006年03月

    DOI J-GLOBAL

  • X線反射率測定によるTiN/HfSiON界面の熱安定性評価

    川村浩太, 三島永嗣, 志村考功, 渡部平司, 安武潔, 神山聡, 赤坂泰志, 奈良安雄, 中村邦雄, 山田啓作

    精密工学会大会学術講演会講演論文集   2006   I04  2006年03月

    DOI J-GLOBAL

  • Si熱酸化膜中の残留秩序構造と絶縁膜/Si界面反応研究への応用

    志村考功, 三島永嗣, 渡部平司, 安武 潔, 梅野正隆, 辰村光介, 渡邉孝信, 大泊 巌

    ゲートスタック研究会 -材料・プロセス・評価の物理-(第11回研究会)   13-18  2006年02月  [招待有り]

  • Si熱酸化膜中の残留秩序構造と絶縁膜/Si界面反応研究への応用

    ゲートスタック研究会 -材料・プロセス・評価の物理-(第11回研究会)   13-18  2006年

  • Si熱酸化膜中の残留秩序構造と絶縁膜/Si界面反応研究への応用

    ゲートスタック研究会 -材料・プロセス・評価の物理-(第11回研究会)   13-18  2006年

  • Residual Order in Thermal Oxide Layers and Its applicatioin to the Study of Interface Reaction

      13-18  2006年

  • Ordered Structure in the Thermal Oxide Layer on Silicon Substrates

    Takayoshi Shimura, Eiji Mishima, Heiji Watanabe, Kiyoshi Yasutake, Masataka Umeno, Kousuke Tatsumura, Takanobu Watanabe, Iwao Ohdomari, Keisaku Yamada, Satoshi Kamiyama, Yasushi Akasaka, Yasuo Nara, Kunio Nakamura

    Physics and Chemistry of SiO$_2$ and the Si-SiO$_2$ Interface 5    2005年10月  [招待有り]

  • X線反射率測定によるTiN/HfSiON界面の熱安定性評価

    川村浩太, 三島永嗣, 志村考功, 渡部平司, 安武潔, 神山聡, 赤坂泰志, 奈良安雄, 中村邦雄, 山田啓作

    応用物理学会学術講演会講演予稿集   66th ( 2 ) 684  2005年09月

    J-GLOBAL

  • メタル電極形成条件がTiN/HfSiON界面反応と電気特性に及ぼす影響

    渡辺康匡, 吉田慎一, 喜多祐起, 志村考功, 渡部平司, 安武潔, 赤坂泰志, 奈良安雄, 中村邦雄, 山田啓作

    応用物理学会学術講演会講演予稿集   66th ( 2 ) 684  2005年09月

    J-GLOBAL

  • 熱処理に伴うHfSiOx/SiO2/Si構造の界面酸化反応のX線CTR散乱測定

    三島永嗣, 川村浩太, 志村考功, 渡部平司, 安武潔, 神山聡, 赤坂泰志, 奈良安雄, 中村邦雄, 山田啓作

    精密工学会大会学術講演会講演論文集   2005 ( 0 ) J45 - 820  2005年09月

     概要を見る

    次世代LSIのMOSFETの性能向上のため、高誘電率(high&amp;ndash;k)ゲート絶縁膜の開発が進められている。本研究ではhigh&amp;ndash;k/SiO&lt;sub&gt;2&lt;/sub&gt;/Si構造における、窒化や後熱処理に伴う中間SiO&lt;sub&gt;2&lt;/sub&gt;層の界面酸化反応について放射光によるX線CTR散乱測定を行った。その結果、窒化や後熱処理を施した界面では残留秩序構造の増加が確認され、界面での酸化反応が進行していることがわかった。

    DOI CiNii J-GLOBAL

  • 高誘電率ゲート絶縁膜とメタルゲート電極との界面反応の評価

    喜多祐起, 吉田慎一, 渡辺康匡, 志村考功, 渡部平司, 安武潔, 赤坂泰志, 奈良安雄, 中村邦雄, 山田啓作

    精密工学会大会学術講演会講演論文集   2005   J44  2005年09月

    DOI J-GLOBAL

  • Reactions and diffusion of atomic and molecular oxygen in the SiO2 network

    K Tatsumura, T Shimura, E Mishima, K Kawamura, D Yamasaki, H Yamamoto, T Watanabe, M Umeno, Ohdomari, I

    PHYSICAL REVIEW B   72 ( 4 )  2005年07月

     概要を見る

    To address the reactions and diffusion of atomic and molecular oxygen in SiO2, the modification of the SiO2 network on exposure to an atomic or molecular oxygen atmosphere is investigated by measuring the x-ray-diffraction profile of the residual order peak emanating from the oxide. Analyses of the peak intensity and its fringe pattern provide experimental evidence for the recent theoretical predictions, indicating that atomic oxygen is incorporated into the SiO2 network near the surface and diffuses toward the interface along with modifying it even at a low temperature of 400 degrees C, whereas molecular oxygen diffuses without reacting with the bulk SiO2 even at a temperature of 850 degrees C that is sufficiently high for oxidation reaction at the interface.

    DOI

  • Comparison of ordered structure in buried oxide layers in high-dose, low-dose, and internal-thermal-oxidation separation-by-implanted-oxygen wafers

    T Shimura, K Fukuda, K Yasutake, T Hosoi, M Umeno

    THIN SOLID FILMS   476 ( 1 ) 125 - 129  2005年04月

     概要を見る

    The ordered SiO(2) in the buried oxide (BOX) layer of high-dose, low-dose, and internal-thermal-oxidation (ITOX) separation-by-implanted-oxygen (SIMOX) wafers was investigated by X-ray diffraction. From the results, it was found that the SiO(2) molecules in the low-dose and ITOX SIMOX wafers are better ordered than those in the high-dose SIMOX wafer and that the ordered structure of the ITOX layer is different from that of the originally formed BOX layer, suggesting that the ITOX layer has a structure similar to that of the ordered SiO(2) in the thermal oxide layer. (c) 2004 Elsevier B.V. All rights reserved.

    DOI

  • X線CTR散乱によるHfSiOx/SiO2/Si構造の界面残留秩序の測定

    三島永嗣, 川村浩太, 志村考功, 渡部平司, 神山聡, 赤坂泰志, 奈良安雄, 中村邦雄, 山田啓作

    応用物理学関係連合講演会講演予稿集   52nd ( 2 ) 904  2005年03月

    J-GLOBAL

  • TiN/HfSiON界面反応がHigh‐k膜の結晶化温度と電気特性に及ぼす影響

    吉田慎一, 渡辺康匡, 志村考功, 渡部平司, 安武潔, 赤坂泰志, 奈良安雄, 中村邦雄, 山田啓作

    応用物理学関係連合講演会講演予稿集   52nd ( 2 ) 905  2005年03月

    J-GLOBAL

  • Comparison of Ordered Structure in Buried Oxide Layers in High-dose, Low-dose, and Internal-thermal-oxidation Separation-by-implanted-oxygen Wafers

    Takayoshi Shimura, Kazunori Fukuda, Kiyoshi Yasutake, Takuji Hosoi, Masataka Umeno

    Thin Solid Films   476 ( 1 ) 125 - 129  2005年03月  [査読有り]

    DOI

  • X-ray Diffraction Measurements of Internal Strain in Si Nanowires Fabricated using a Self-limiting Oxidation

    Takayoshi Shimura, Kiyoshi Yasutake, Masataka Umeno, Masao Nagase

    Appl. Phys. Lett.   86, 071903/,  2005年02月

    DOI

  • X-ray diffraction measurements of internal strain in Si nanowires fabricated using a self-limiting oxidation process

    T Shimura, K Yasutake, M Umeno, M Nagase

    APPLIED PHYSICS LETTERS   86 ( 7 )  2005年02月

     概要を見る

    We demonstrate x-ray diffraction measurements of internal strain in Si nanowires that were fabricated using a self-limiting oxidation process. Rod-shaped scattering around the 111 Bragg point due to interference effects from the Si nanowires were observed, which are robust reflections for incoherent displacement of the wires. From the shifts of the scattering in reciprocal space, the strain was estimated to be 1.0-1.5 x 10(-3) for the sample oxidized at 800degreesC for 300 min. (C) 2005 American Institute of Physics.

    DOI

  • Ordered Structure in the Thermal Oxide Layer on Silicon Substrates

    Physics and Chemistry of SiO$_2$ and the Si-SiO$_2$ Interface 5    2005年

  • HfSiON膜中の局所絶縁劣化箇所のC-AFM観測-窒化による信頼性向上メカニズムの検討-

    渡辺康匡, 志村考功, 渡部平司, 安武潔, 神山聡, 有門経敏, 白石賢二, 梅澤直人, 知京豊裕, 山田啓作

    ゲートスタック研究会(第10回特別研究会)講演予稿集 p.327-331.   p.327-331  2005年01月

  • Ordered Structure in the Thermal Oxide Layer on Silicon Substrates

    Physics and Chemistry of SiO$_2$ and the Si-SiO$_2$ Interface 5    2005年

  • Ordered Structure in the Thermal Oxide Layer on Silicon Substrates

    Physics and Chemistry of SiO$_2$ and the Si-SiO$_2$ Interface 5    2005年

  • Quasi Phase-contrast Imaging of the Variation in Lattice Spacing of Very Thin Si Layers

    Takayoshi Shimura, Eiji Mishima, Kiyoshi Yasutake, Shigeru Kimura, Masataka Umeno

    SPring-8 User Experiment Report, No.13, 2004A   No.13, 2004A/,  2004年11月

  • Characterization of SOI wafers by synchrotron X-ray topography

    Takayoshi Shimura, Kazunori Fukuda, Kiyoshi Yasutake, Masataka Umeno

    Eur. Phys. J. Appl. Phys. 27, 439-442 (2004)   27 ( 1-3 ) 439 - 442  2004年09月

    DOI

  • Observation of Concentric Circular Patterns of State-of-the-art SOI Wafers by Large Area X-ray Topography

    Takayoshi Shimura, Eiji Mishima, Kiyoshi Yasutake, Shigeru Kiumura, Masataka Umeno

    SPring-8 User Experimenta Report, No.12 (2003B) 110.   No.12 (2003B) 110  2004年07月

  • Characterization of SOI wafers by synchrotron X-ray topography

    T Shimura, K Fukuda, K Yasutake, M Umano

    EUROPEAN PHYSICAL JOURNAL-APPLIED PHYSICS   27 ( 1-3 ) 439 - 442  2004年07月

     概要を見る

    Synchrotron X-ray topographs were taken for bonded silicon-on-insulator wafers. Under the grazing incident condition, the topographs of the top Si layer and the substrate are similar, which represent the variation in incident angle due to surface undulation. Furthermore, a circular concentric pattern was observed in the topographs of the top Si layer both at the grazing and higher incident angles. This shows that the concentric pattern is not due to surface undulation, but due to lattice distortion.

    DOI

  • Residual Order within Thernally Grown SiO$_2$ on Si(113) Substrate

    Kosuke Tatsumura, Takanobu Watanabe, Iwao Ohdomari, Toyohiro Chikyow, Takayoshi Shimura, Masataka Umeno

    Ext. Abst. of International Workshop on Dielectric Thin Films for Future ULIS Devices - Science and Technology, 2004, Tokyo    2004年05月

  • Residual Order within Thernally Grown SiO$_2$ on Si(113) Substrate

    Ext. Abst. of International Workshop on Dielectric Thin Films for Future ULIS Devices - Science and Technology, 2004, Tokyo    2004年

  • Observation of Concentric Circular Patterns of State-of-the-art SOI Wafers by Large Area X-ray Topography

    SPring-8 User Experimenta Report, No.12 (2003B) 110.   No.12 (2003B) 110  2004年

  • Quasi Phase-contrast Imaging of the Variation in Lattice Spacing of Very Thin Si Layers

    SPring-8 User Experiment Report, No.13, 2004A   No.13, 2004A/,  2004年

  • Development of Characterization Technique of SOI Wafers by Synchrotron X-ray Topography

    The Proceedings of the 4th International Symposium on Advanced Science and Technology of Silicon Materials    2004年

    DOI

  • Low Temperature Laue Topography of Strontium titanate at SPring-8

    T.Ozaki, I.Fujimoto, K.Mizuno, S.Iida, K.Kajiwara, T.Taira, J.Yoshimura, T.Shimura, Y.Chikaura

    Nuclear Instruments and Methods in Physics Research B 199 (2003) 81-84   199   81 - 84  2003年04月

    DOI

  • Beamline for Surface and Interface Structures at SPring-8

    O.Sakata, Y.Furukawa, S.Goto, T.Mochizuki, T.Uruga, K.Takeshita, H.Ohashi, T.Ohata, T.Matsushia, S.Takahashi, H.Tajiri, T.Ishikawa, M.Nakamura, M.Ito, K.Sumitani, T.Takahashi, T.Shimura, A.Saito, M.Takahashi

    Surface Review and Letters, Vol.10, 2-3 (2003) 543-547   10 ( 2-3 ) 543 - 547  2003年02月

  • Large-Area X-ray Topographs of Lattice Undulation of Bonded Silicon-on-insulator Wafers

    Kazunori Fukuda, Takayoshi Yoshida, Takayoshi Shimura, Kiyoshi Yasutake, Masataka Umeno

    Jpn. J. Appl. Phys. 42 (2003) L117-L119   42 ( 2A ) L117 - L119  2003年02月  [査読有り]

    DOI

  • Existence of an Epitaxially Ordered Phase in the Buried Oxide of SIMOX Wafers

    Takayoshi Shimura, Takuji Hosoi, Kazunori Fukuda, Masataka Umeno

    Solid State Phenomnena   82-84   485 - 490  2002年12月  [査読有り]

    DOI

  • Observation of Lattice Undulation of Commercial Bonded SOI Wafers by Synchrotron X-ray Topography

    K. Fukuda, T. Yoshida, T. Shimura, K. Yasutake, M. Umeno

    Jpn. J. Appl. Phys. 41 [11B] (2002) L1325-L1327.   41 ( 11B ) L1325 - L1327  2002年11月

    DOI

  • In situ Ellipsometric Measurement during Growth of Ge on Si(111) by Molecular Beam Epitaxy

    Tetsuya Ikuta, Yoshifumi Yoshioka, Satoshi Kamei, Hiroyuki Hayashi, Takayoshi Shimura, Masataka Umeno

    Jpn. J. Appl. Phys, Vol.41 (2002) 2262-2265   41 ( 4 ) 2262 - 2265  2002年04月

    DOI

  • Formation of Epitaxially Ordered SiO$_2$ in Oxygen-implanted Silicon during Thermal Annealing

    Takayoshi Shimura, Takuji Hosoi, Kazunori Fukuda, Masataka Umeno, Atsushi Ogura

    J. Cryst. Growth, 236 (2002) 37-40   236 ( 1-3 ) 37 - 40  2002年03月  [査読有り]

    DOI

  • Si(111)面上におけるSi_<1-X>Ge_X薄膜のMBE成長過程

    亀井 聡, 林 寛之, 神前 智憲, 志村 考功, 梅野 正隆

    精密工学会大会学術講演会講演論文集   2001 ( 2 ) 253 - 253  2001年09月

    CiNii

  • Development of Characterization Technique of SOI wafers by X-ray Topography

    Takayoshi Shimura, Kazunori Fukuda, Yutaka Yamazaki, Takayoshi Yoshida, Masataka Umeno

    SPring-8 User Experiment Report No.6 (2000B) 80.    2001年05月

    DOI

  • Construction of Topography stations at SPring-8 and First Observation

    Y.Chikaura, S.Iida, S.Kawado, K.Mizuno, S.Kimura, J.Matsui, M.Umeno, T.Ozaki, T.Shimura, Y.Suzuki, K.Izumi, K.Kawasaki, K.Kajiwara, T.Ishikawa

    J. Phys. D: Appl. Phys.   34 ( 10A ) A158 - A162  2001年05月

    DOI CiNii

  • Monitoring of Si Molecular-Beam Epitaxial Growth by an Ellipsometric Method

    Yoshifumi Yoshioka, Tetsuya Ikuta, Toshiya Taji, Kouzou Mizobata, Takayoshi Shimura, Masataka Umeno

    Jpn.~J. Appl.~Phys., Vol.~40, No.~1, pp.~371-375   40 ( 1 ) 371 - 375  2001年01月

     概要を見る

    【工学部論文データから移行】

    DOI CiNii

  • Direct Observation of the Gettering Processes of Fe Atoms in SOI Wafers by M$\ddot{o}$ssbauer Spectroscopy

    Yutaka Yoshida(Sizuoka Institute of Science, Technology, Satoshi Ogawa(Sizuoka, Institute of Science, Technology, Sigeru Endou(Sizuoka, Institute of Science, Technology, Takayoshi Shimura, Masataka Umeno

    Proceedings of the 3rd International Symposium on Advanced Science and Technology of Silicon Materials     630  2000年11月

     概要を見る

    【工学部論文データから移行】

  • Investigation of SOI Wafers by X-ray Diffraction Techniques

    Takayoshi Shimura, Takuji Hosoi, Kiyoshi Yasutake, Masataka Umeno

    Proceedings of the 3rd International Symposium on Advanced Science and Technology of Silicon Materials     636 - 641  2000年11月  [査読有り]

     概要を見る

    【工学部論文データから移行】

  • 22pYH-11 SPring-8における低温ラウエトポグラフィーの開発

    尾崎 徹, 梶原 堅太郎, 水野 薫, 飯田 敏, 藤本 勲, 吉村 順一, 志村 考功, 近浦 吉則

    日本物理学会講演概要集   55 ( 2 ) 816 - 816  2000年09月

    CiNii

  • Characterization of SOI waters by X-ray CTR scattering (共著)

    志村考功

    /210,98-101   210 ( 1 ) 98 - 101  2000年03月

     概要を見る

    【国立情報学研究所情報から移行】

    DOI

  • Ordered Structure in Buried Oxide Layers of SOI Wafers (Proceedings of the Second International Conference on SRMS(Synchrotron Radiation in Materials Science)(2))

    Shimura Takayoshi, Hosoi Takuji, Ejiri Riho

    Japanese Journal of Applied Physics Pt. 1 Regular Papers, Short Notes & Review Papers   38 ( 1 ) 297 - 300  1999年06月

    CiNii

  • Ordered Structure in Buried Oxide Layers of Soi Waters (共著)

    志村考功

    38/1,297-300   38 ( 1 ) 297 - 300  1999年

     概要を見る

    【国立情報学研究所情報から移行】

  • Effects of the Substrate Crystals upon the Structure of Thermal Oxide Layers on Si(共著)

    志村考功

    33/4,637   33 ( 4 ) 637 - 642  1998年

     概要を見る

    【国立情報学研究所情報から移行】

    DOI CiNii

  • Comments on Observation of a Distributed Epitaxial Oxide in Thermally Grown SiO┣D22┫D2 on Si(001)(共著)

    志村考功

    79/24,4932   79 ( 24 ) 4932 - 4933  1997年12月

     概要を見る

    【国立情報学研究所情報から移行】

    DOI CiNii

  • Si熱酸化膜中のSiO2結晶相

    志村 考功, 梅野 正隆, シムラ タカヨシ, ウメノ マサタカ

    大阪大学低温センターだより   99   21 - 25  1997年07月

    CiNii

  • シリコン熱酸化膜中のSiO$_{2}$結晶相

    志村考功, 梅野正隆

    放射光学会誌, Vol. 10, No. 3, pp. 286-298   10 ( 3 ) 286  1997年06月

     概要を見る

    【工学部論文データから移行】

  • シリコン熱酸化膜中のSiO┣D22┫D2結晶相(共著)

    志村考功

    10/3,286   10 ( 3 ) 286  1997年

     概要を見る

    【国立情報学研究所情報から移行】

  • X-ray Scattering from Crystalline SiO$_2$ in the Thermal Oxide Layers on Vicinal Si(111) Surfaces

    Takayoshi Shimura, Hiroshi Misaki, Masataka Umeno

    Acta Crystallographica, Vol.A52, Supplement, pp.C465-C465   52   C465 - C465  1996年08月

    研究発表ペーパー・要旨(国際会議)  

     概要を見る

    【工学部論文データから移行】

    DOI

  • X-ray Diffraction Evidence for the Existence of Epitaxial Microcrystallites in Thermally Oxidized SiO$_{2}$ Thin Films on the Si(111) Surface

    Takayoshi Shimura, Hiroshi Misaki, Masataka Umeno

    Abstracts of the 11th International Conference on Crystal Growth, p.795   166 ( 1-4 ) 786 - 791  1995年06月

     概要を見る

    【工学部論文データから移行】

    DOI CiNii

  • X線散乱による結晶成長表面・界面の評価(共著)

    志村考功

    21/5,209   21 ( 5 ) 209  1994年

     概要を見る

    【国立情報学研究所情報から移行】

  • Characterization of Growth Surface and Interface of Crystals by X-ray Scattering(共著)

    Jouranal of the Crystal Growth Society of Japan   21 ( 5 ) 209  1994年

  • Structure of silicon oxide Si(001) growth at low temperature(共著)

    志村考功

    315/,L1021   315 ( 3 ) L1021 - L1024  1994年

     概要を見る

    【国立情報学研究所情報から移行】

  • Absolute measurement of CTR scattering and comparison with theoretical predictions(共著)

    志村考功

    198/,195   198 ( 1-3 ) 195 - 196  1994年

     概要を見る

    【国立情報学研究所情報から移行】

    DOI

  • X-RAY CRYSTAL TRUNCATION ROD SCATTERING FROM MBE GROWN (CAF2-SRF2)/SI(111) SUPERLATTICES

    J HARADA, Y ITOH, T SHIMURA, TAKAHASHI, I, JC ALVAREZ, NS SOKOLOV

    APPLIED SURFACE SCIENCE   75   263 - 268  1994年01月

     概要を見る

    Fluoride CaF2-SrF2 superlattices (SLs) grown by molecular beam epitaxy have been studied by means of X-ray diffractometry for the first time. The diffraction patterns showed reasonably good crystalline quality of the SLs and a type-B epitaxial relation to the Si(111) substrate. From the analysis of the crystal truncation rod (CTR) profiles, based on the pseudomorphic model, it was obtained that despite the same high temperature (770 degrees C) of formation of the CaF2/Si(111) interface its structure depended on the growth temperature of the SLs. The shape of the CTR profiles confirmed the existence of the superlattice which consists of one or two monolayer thick SrF2 layers. Some CaF2/SrF2-interface roughness was noticeable.

    DOI CiNii

  • 14p-DL-10 シリコン(001)面上に成長した微細結晶相の構造 : 低温酸化の場合

    高橋 功, 中野 晃軌, 原田 仁平, 志村 孝功, 梅野 正隆

    日本物理学会講演概要集. 秋の分科会   1993 ( 2 ) 607 - 607  1993年09月

    CiNii

  • X-RAY CHARACTERIZATION OF THE MBE GROWN CrF┣D22┫D2/CaF┣D22┫D2 SUPERSTRUCTURES ON Si(III)SUBSTRATE(共著)

    志村考功

    A49,319   A49,319/,  1993年

     概要を見る

    【国立情報学研究所情報から移行】

  • THE DIFFUSE X-RAY SCATTERING FROM A CRYSTAL SURFACE POSSESSING SOME ROUGHNESS(共著)

    志村考功

    A49,319   A49,319/,  1993年

     概要を見る

    【国立情報学研究所情報から移行】

  • EVALUATION OF THE THEORIES OF CTR SCATTERING BY ABSOLUTE MEASUREMENT OF ITS INTENSITIES(共著)

    志村考功

    A49,318   A49,318/,  1993年

     概要を見る

    【国立情報学研究所情報から移行】

  • Epitaxial Grown Microcrystals in thermally Oxidized Amorphous SiO┣D22┫D2 Film on Si(001)Waters(共著)

    志村考功

    615   615  1993年

     概要を見る

    【国立情報学研究所情報から移行】

  • X-ray diffraction evidence for epitaxial microcrystallinity in thermally oxidized SiO┣D22┫D2 thin films on the Si(001)surface(共著)

    志村考功

    5,6525   5 ( 36 ) 6525 - 6536  1993年

     概要を見る

    【国立情報学研究所情報から移行】

    DOI

  • A New Technique for the Observation of X-ray CTR Scattering by Using an Imaging Plate Detector. (共著)

    志村考功

    26/2,151   26 ( 2 ) 151 - 158  1993年

     概要を見る

    【国立情報学研究所情報から移行】

    DOI CiNii

  • 30a-ZB-7 X線解析を用いたSi熱酸化膜中微細結晶相の研究(3)

    志村 考功, 飯田 裕, 高橋 功, 原田 仁平

    年会講演予稿集   47 ( 2 ) 533 - 533  1992年03月

    CiNii

  • Observation and Analysis of Growth Surface of Crystals by X-ray Scattering(共著)

    志村考功

    29   29  1992年

     概要を見る

    【国立情報学研究所情報から移行】

  • Characterization of the(0001)surface of ice In crystal by crystal truncation rod scattering with the use of a synchrotron radiation source(共著)

    志村考功

    121,360   121 ( 3 ) 360 - 364  1992年

     概要を見る

    【国立情報学研究所情報から移行】

    DOI

  • 27a-L-8 X線CTR散乱によるSio_2/Si(001)の構造研究II

    志村 考功, 原田 仁平, 佐俣 秀一, 松下 嘉明

    年会講演予稿集   46 ( 2 ) 479 - 479  1991年09月

    CiNii

  • A Structural study of the Thermally oxidized Si(001)water by X-ray CTR scattering(共著)

    志村考功

    258,235   258 ( 1-3 ) 235 - 238  1991年

     概要を見る

    【国立情報学研究所情報から移行】

  • THE CHARACTERIZATION OF THE(111)FACET FACES ON THE SEED CONE OF[100]SILICON SINGLE CRYSTALS GROWN BY MCZ AND CZ METHODS BY X-RAY CTR SCATTERING(共著)

    志村考功

    104,773   104 ( 4 ) 773 - 779  1990年

     概要を見る

    【国立情報学研究所情報から移行】

    DOI

▼全件表示

産業財産権

▼全件表示

 

現在担当している科目

▼全件表示

担当経験のある科目(授業)

  • 精密工学演習I

    2020年
    -
     
     

  • 精密工学演習 II

    2020年
    -
     
     

  • 精密工学演習 III

    2020年
    -
     
     

  • 精密工学演習 IV

    2020年
    -
     
     

  • 応用表面科学

    2020年
    -
     
     

  • 計算機プログラミング演習

    2020年
    -
     
     

  • 物理計測 I

    2020年
    -
     
     

  • 数値解析および演習

    2020年
    -
     
     

  • 応用表面科学

    2019年
    -
     
     

  • 計算機プログラミング演習

    2019年
    -
     
     

  • 物理計測 I

    2019年
    -
     
     

  • 数値解析および演習

    2019年
    -
     
     

  • 応用表面科学

    2018年
    -
     
     

  • 精密科学の世界II

    2018年
    -
     
     

  • 数値解析および演習

    2018年
    -
     
     

  • 物理計測 I

    2018年
    -
     
     

  • 計算機プログラミング演習

    2018年
    -
     
     

  • 応用表面科学

    2017年
    -
     
     

  • 数値解析および演習

    2017年
    -
     
     

  • 物理計測 I

    2017年
    -
     
     

  • 計算機プログラミング演習

    2017年
    -
     
     

  • 応用表面科学

    2016年
    -
     
     

  • 計算機プログラミング演習

    2016年
    -
     
     

  • 数値解析および演習

    2016年
    -
     
     

  • 物理計測 I

    2016年
    -
     
     

  • 物理計測 I

    2015年
    -
     
     

  • 数値解析および演習

    2015年
    -
     
     

  • 計算機プログラミング演習

    2015年
    -
     
     

  • 応用表面科学

    2015年
    -
     
     

  • 応用表面科学

    2014年
    -
     
     

  • 計算機プログラミング演習

    2014年
    -
     
     

  • 数値解析および演習

    2014年
    -
     
     

  • 物理計測 I

    2014年
    -
     
     

  • 応用表面科学

    2013年
    -
     
     

  • 計算機プログラミング演習

    2013年
    -
     
     

  • 数値解析および演習

    2013年
    -
     
     

  • 物理計測 I

    2013年
    -
     
     

  • 応用表面科学

    2012年
    -
     
     

  • 計算機プログラミング演習

    2012年
    -
     
     

  • 数値解析および演習

    2012年
    -
     
     

  • 物理計測 I

    2012年
    -
     
     

  • 計算機プログラミング演習

    2011年
    -
     
     

  • 数値解析および演習

    2011年
    -
     
     

  • 応用表面科学

    2011年
    -
     
     

  • 精密科学の世界II

    2011年
    -
     
     

  • 物理計測 I

    2011年
    -
     
     

  • 物理計測 I

    2010年
    -
     
     

  • レーザー分光学

    2010年
    -
     
     

  • 精密科学の世界II

    2010年
    -
     
     

  • 計算機プログラミング演習

    2010年
    -
     
     

  • 応用表面科学

    2010年
    -
     
     

  • 数値解析および演習

    2010年
    -
     
     

  • 数値解析および演習

    2009年
    -
     
     

  • レーザー分光学

    2009年
    -
     
     

  • 応用表面科学

    2009年
    -
     
     

  • 計算機プログラミング演習

    2009年
    -
     
     

  • 物理計測 I

    2009年
    -
     
     

  • 応用表面科学

    2008年
    -
     
     

  • 数値解析および演習

    2008年
    -
     
     

  • 物理計測 I

    2008年
    -
     
     

  • 計算機プログラミング演習

    2008年
    -
     
     

  • 生命先端工学特別講義II

    2008年
    -
     
     

  • 物理計測 I

    2007年
    -
     
     

  • 情報活用基礎A

    2007年
    -
     
     

  • 計算機プログラミング演習

    2007年
    -
     
     

  • 数値解析および演習

    2007年
    -
     
     

  • 精密科学演習II

    2006年
    -
     
     

  • 精密科学演習I

    2006年
    -
     
     

  • 精密科学特別演習 I

    2006年
    -
     
     

  • 情報活用基礎A

    2006年
    -
     
     

  • 計算機プログラミング演習

    2006年
    -
     
     

  • 精密計測学

    2006年
    -
     
     

  • 物理計測 I

    2006年
    -
     
     

  • 計算機プログラミング演習

    2003年
    -
     
     

  • 情報活用基礎A

    2003年
    -
     
     

  • ゼミナール II

    1997年
    -
     
     

▼全件表示

 

学術貢献活動

  • The Forum on the Science and Technology of Silicon Materials 2018

    学会・研究会等

    The 145th Committee on Processing and Characterization of Crystals of Japan Society for the Promotion of Science (JSPS)  

    2018年11月
    -
     
  • Third International Symposium on Atomically Controlled Fabrication Technology

    学会・研究会等

    The Global COE Program "Atomically Controlled Fabrication Technology"  

    2010年11月
    -
     
  • Second International Symposium on Atomically Controlled Fabrication Technology

    学会・研究会等

    The GCOE Program "Atomically Controlled Fabrication Technology"  

    2009年11月
    -
     
  • First International Symposium on Atomically Controlled Fabrication Technology -Surface and Thin Film Processing -

    学会・研究会等

    The Global COE Program "Atomically Controlled Fabrication Technology"  

    2009年02月
    -
     
  • 放射光を用いた結晶評価の新展開 ~X線トポグラフィーによる半導体評価を中心として~

    展覧会

    2008年11月
    -
     
  • The 4th International Symposium on Advanced Science and Technology of Silicon Materials

    学会・研究会等

    The 145th Committee on Processing and Characterization of Crystals of Japan Socety for the Promotion of Science (JSPS)  

    2004年11月
    -
     

▼全件表示

学内研究所・附属機関兼任歴

  • 2024年
     
     

    理工学術院総合研究所   兼任研究員