Education Background
-
-2005
Waseda University Graduate School, Division of Engineering
Details of a Researcher
Updated on 2024/12/21
Waseda University Graduate School, Division of Engineering
IEICE
IPSJ
IEEE
応用物理学会
人工知能学会
Reliable and fault-tolerant computing, Cryptography, Video Processing
APCCAS Best Student Paper Award
2020.11
IEEK Best Paper Award
2012.11
An Efficient Multiplier-Less Processing Element on Power-of-2 Dictionary-Based Data Quantization
Jiaxiang Li, Masao Yanagisawa, Youhua Shi
Integrated Circuits and Systems 2024.03
An Area-Power-Efficient Multiplier-less Processing Element Design for CNN Accelerators
J. Li, M. Yanagisawa, Y. Shi
IEEE 15th International Conference on ASIC (ASICON) 2023.10 [Refereed]
Authorship:Last author, Corresponding author
Strategy for Improving Cycle of Maximized Energy Output of Triboelectric Nanogenerators
Y. Su, M. Yanagisawa, Y. Shi
IEEE International Conference on IC Design and Technology (ICICDT) 2023.09 [Refereed]
Authorship:Last author, Corresponding author
Scalable Hardware Efficient Architecture for Parallel FIR Filters with Symmetric Coefficients
Jinghao Ye, Masao Yanagisawa, Youhua Shi
Electronics 11 ( 20 ) 3272 - 3272 2022.10 [Refereed]
A Reconfigurable Area and Energy Efficient Hardware Accelerator of Five High-order Operators for Vision Sensor Based Robot Systems
Qianjin Wang, Yi Zhan, Bingqiang Liu, Jiajun Wu, Youhua Shi, Guoyi Yu, Chao Wang
2021 IEEE International Conference on Integrated Circuits, Technologies and Applications, ICTA 2021 189 - 190 2021 [Refereed]
Lin Ye, Jinghao Ye, Masao Yanagisawa, Youhua Shi
IEEE Access 9 17411 - 17420 2021 [Refereed]
A High-Performance Symmetric Hybrid Form Design for High-Order FIR Filters.
Jinghao Ye, Masao Yanagisawa, Youhua Shi
2020 IEEE Asia Pacific Conference on Circuits and Systems(APCCAS) 121 - 124 2020 [Refereed]
Transition Detector-Based Radiation-Hardened Latch for Both Single- and Multiple-Node Upsets.
Saki Tajima, Masao Yanagisawa, Youhua Shi
IEEE Trans. Circuits Syst. II Express Briefs 67-II ( 6 ) 1114 - 1118 2020 [Refereed]
Faithfully Truncated Adder-Based Area-Power Efficient FIR Design with Predefined Output Accuracy.
Jinghao Ye, Masao Yanagisawa, Youhua Shi
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 103-A ( 9 ) 1063 - 1070 2020 [Refereed]
An Adder-Segmentation-based FIR for High Speed Signal Processing.
Jinghao Ye, Masao Yanagisawa, Youhua Shi
Proceedings of International Conference on ASIC 1 - 4 2019 [Refereed]
A Zero-Gating Processing Element Design for Low-Power Deep Convolutional Neural Networks.
Lin Ye, Jinghao Ye, Masao Yanagisawa, Youhua Shi
Proceedings - APCCAS 2019: 2019 IEEE Asia Pacific Conference on Circuits and Systems: Innovative CAS Towards Sustainable Energy and Technology Disruption 317 - 320 2019 [Refereed]
Jinghao Ye, Masao Yanagisawa, Youhua Shi
Proceedings - APCCAS 2019: 2019 IEEE Asia Pacific Conference on Circuits and Systems: Innovative CAS Towards Sustainable Energy and Technology Disruption 29 - 32 2019 [Refereed]
Jinghao Ye, Nozomu Togawa, Masao Yanagisawa, Youhua Shi
Proceedings - IEEE International Symposium on Circuits and Systems 2019-May 1 - 4 2019 [Refereed]
Hardware Trojan Detection Utilizing Machine Learning Approaches.
Kento Hasegawa, Youhua Shi, Nozomu Togawa
Proceedings - 17th IEEE International Conference on Trust, Security and Privacy in Computing and Communications and 12th IEEE International Conference on Big Data Science and Engineering, Trustcom/BigDataSE 2018 1891 - 1896 2018 [Refereed]
A Low Power Soft Error Hardened Latch with Schmitt-Trigger-Based C-Element.
Saki Tajima, Nozomu Togawa, Masao Yanagisawa, Youhua Shi
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 101-A ( 7 ) 1025 - 1034 2018 [Refereed]
Extension and Performance/Accuracy Formulation for Optimal GeAr-Based Approximate Adder Designs.
Ken Hayamizu, Nozomu Togawa, Masao Yanagisawa, Youhua Shi
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 101-A ( 7 ) 1014 - 1024 2018 [Refereed]
A low cost and high speed CSD-based symmetric transpose block FIR implementation.
Jinghao Ye, Youhua Shi, Nozomu Togawa, Masao Yanagisawa
Proceedings of International Conference on ASIC 2017- 311 - 314 2017 [Refereed]
Soft error tolerant latch designs with low power consumption (invited paper).
Saki Tajima, Nozomu Togawa, Masao Yanagisawa, Youhua Shi
Proceedings of International Conference on ASIC 2017- 52 - 55 2017 [Refereed]
In-situ Trojan authentication for invalidating hardware-Trojan functions.
Masaru Oya, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
PROCEEDINGS OF THE SEVENTEENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN ISQED 2016 152 - 157 2016 [Refereed]
A delay variation and floorplan aware high-level synthesis algorithm with body biasing.
Koki Igawa, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
PROCEEDINGS OF THE SEVENTEENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN ISQED 2016 75 - 80 2016 [Refereed]
Timing monitoring paths selection for wide voltage IC.
Weiwei Shan, Wentao Dai, Youhua Shi, Peng Cao 0002, Xiaoyan Xiang
IEICE Electron. Express 13 ( 8 ) 20160095 - 20160095 2016 [Refereed]
Koki Igawa, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
2015 28TH IEEE INTERNATIONAL SYSTEM-ON-CHIP CONFERENCE (SOCC) 7 - 12 2015 [Refereed]
A Score-Based Classification Method for Identifying Hardware-Trojans at Gate-Level Netlists
Masaru Oya, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
2015 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE) 465 - 470 2015 [Refereed]
Shinnosuke Yoshida, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
PROCEEDINGS OF 2015 IEEE 11TH INTERNATIONAL CONFERENCE ON ASIC (ASICON) 1 - 4 2015 [Refereed]
A low-power soft error tolerant latch scheme.
Saki Tajima, Youhua Shi, Nozomu Togawa, Masao Yanagisawa
PROCEEDINGS OF 2015 IEEE 11TH INTERNATIONAL CONFERENCE ON ASIC (ASICON) 1 - 4 2015 [Refereed]
A Hardware-Trojans Identifying Method Based on Trojan Net Scoring at Gate-Level Netlists.
Masaru Oya, Youhua Shi, Noritaka Yamashita, Toshihiko Okamura, Yukiyasu Tsunoo, Satoshi Goto, Masao Yanagisawa, Nozomu Togawa
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 98-A ( 12 ) 2537 - 2546 2015 [Refereed]
Shinnosuke Yoshida, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 98-A ( 7 ) 1406 - 1418 2015 [Refereed]
Shin-ya Abe, Youhua Shi, Kimiyoshi Usami, Masao Yanagisawa, Nozomu Togawa
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 98-A ( 7 ) 1376 - 1391 2015 [Refereed]
A Floorplan-Aware High-Level Synthesis Technique with Delay-Variation Tolerance
Kazushi Kawamura, Yuta Hagio, Youhua Shi, Nozomu Togawa
PROCEEDINGS OF THE 2015 IEEE INTERNATIONAL CONFERENCE ON ELECTRON DEVICES AND SOLID-STATE CIRCUITS (EDSSC) 122 - 125 2015 [Refereed]
A universal delay line circuit for variation resilient IC with self-calibrated time-to-digital converter
Shuai Shao, Youhua Shi, Wentao Dai, Jianyi Meng, Weiwei Shan
PROCEEDINGS OF THE 2015 IEEE INTERNATIONAL CONFERENCE ON ELECTRON DEVICES AND SOLID-STATE CIRCUITS (EDSSC) 126 - 129 2015 [Refereed]
Scan-based Side-channel Attack against Symmetric Key Ciphers Using Scan Signatures
Mika Fujishiro, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
PROCEEDINGS OF THE 2015 IEEE INTERNATIONAL CONFERENCE ON ELECTRON DEVICES AND SOLID-STATE CIRCUITS (EDSSC) 309 - 312 2015 [Refereed]
Throughput Driven Check Point Selection in Suspicious Timing Error Prediction based Designs
Hiroaki Igarashi, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
2014 IEEE 5TH LATIN AMERICAN SYMPOSIUM ON CIRCUITS AND SYSTEMS (LASCAS) 1 - 4 2014 [Refereed]
In-situ Timing Monitoring Methods for Variation-Resilient Designs
Youhua Shi, Nozomu Togawa
2014 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS) 735 - 738 2014 [Refereed]
Secure scan design using improved random order and its evaluations
Masaru Oya, Yuta Atobe, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
2014 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS) 555 - 558 2014 [Refereed]
An Area-Overhead-Oriented Monitoring-Path Selection Algorithm for Suspicious Timing Error Prediction
Shinnosuke Yoshida, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
2014 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS) 300 - 303 2014 [Refereed]
Shin-ya Abe, Youhua Shi, Kimiyoshi Usami, Masao Yanagisawa, Nozomu Togawa
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E96A ( 12 ) 2597 - 2611 2013.12 [Refereed]
Floorplan Driven Architecture and High-Level Synthesis Algorithm for Dynamic Multiple Supply Voltages
Shin-ya Abe, Youhua Shi, Kimiyoshi Usami, Masao Yanagisawa, Nozomu Togawa
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E96A ( 12 ) 2597 - 2611 2013.12
Shin-ya Abe, Youhua Shi, Kimiyoshi Usami, Masao Yanagisawa, Nozomu Togawa
2013 INTERNATIONAL SYMPOSIUM ON VLSI DESIGN, AUTOMATION, AND TEST (VLSI-DAT) 1 - 4 2013 [Refereed]
Secure scan design with dynamically configurable connection
Yuta Atobe, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
Proceedings of IEEE Pacific Rim International Symposium on Dependable Computing, PRDC 256 - 262 2013 [Refereed]
Suspicious Timing Error Prediction with In-Cycle Clock Gating
Youhua Shi, Hiroaki Igarashi, Nozomu Togawa, Masao Yanagisawa
PROCEEDINGS OF THE FOURTEENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED 2013) 335 - 340 2013 [Refereed]
Concurrent Faulty Clock Detection for Crypto Circuits against Clock Glitch based DFA
Hiroaki Igarashi, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) 1432 - 1435 2013 [Refereed]
An Energy-efficient High-level Synthesis Algorithm Incorporating Interconnection Delays and Dynamic Multiple Supply Voltages
Shin-ya Abe, Youhua Shi, Kimiyoshi Usami, Masao Yanagisawa, Nozomu Togawa
2013 INTERNATIONAL SYMPOSIUM ON VLSI DESIGN, AUTOMATION, AND TEST (VLSI-DAT) 2013 [Refereed]
Scan-Based Attack on AES through Round Registers and Its Countermeasure
Youhua Shi, Nozomu Togawa, Masao Yanagisawa
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E95A ( 12 ) 2338 - 2346 2012.12 [Refereed]
Dynamically Changeable Secure Scan Architecture against Scan-Based Side Channel Attack
Yuta Atobe, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
2012 INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC) 155 - 158 2012 [Refereed]
Yuta Atobe, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
2012 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS) 607 - 610 2012 [Refereed]
Shin-ya Abe, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
IEICE ELECTRONICS EXPRESS 9 ( 17 ) 1414 - 1422 2012 [Refereed]
Robust Secure Scan Design Against Scan-Based Differential Cryptanalysis
Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 20 ( 1 ) 176 - 181 2012.01 [Refereed]
Improved Launch for Higher TDF Coverage With Fewer Test Patterns
Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS 29 ( 8 ) 1294 - 1299 2010.08 [Refereed]
State-dependent Changeable Scan Architecture against Scan-based Side Channel Attacks
Ryuta Nara, Hiroshi Atobe, Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS 1867 - 1870 2010 [Refereed]
VLSI Implementation of a Fast Intra Prediction Algorithm for H.264/AVC Encoding
Youhua Shi, Kenta Tokumitsu, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
PROCEEDINGS OF THE 2010 IEEE ASIA PACIFIC CONFERENCE ON CIRCUIT AND SYSTEM (APCCAS) 1139 - 1142 2010 [Refereed]
X-Handling for Current X-Tolerant Compactors with More Unknowns and Maximal Compaction
Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E92A ( 12 ) 3119 - 3127 2009.12 [Refereed]
Unified Dual-Radix Architecture for Scalable Montgomery Multiplications in GF(P) and GF(2(n))
Kazuyuki Tanimura, Ryuta Nara, Shunitsu Kohara, Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E92A ( 9 ) 2304 - 2317 2009.09 [Refereed]
Unified Dual-Radix Architecture for Scalable Montgomery Multiplications in GF(P) and GF(2(n))
Kazuyuki Tanimura, Ryuta Nara, Shunitsu Kohara, Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E92A ( 9 ) 2304 - 2317 2009.09
Design-for-Secure-Test for Crypto Cores
Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
ITC: 2009 INTERNATIONAL TEST CONFERENCE 618 - 618 2009 [Refereed]
A Unified Test Compression Technique for Scan Stimulus and Unknown Masking Data with No Test Loss
Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E91A ( 12 ) 3514 - 3523 2008.12 [Refereed]
A secure test technique for pipelined advanced encryption standard
Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS E91D ( 3 ) 776 - 780 2008.03 [Refereed]
Scalable unified dual-radix architecture for Montgomery multiplication in GF(P) and GF(2(n))
Kazuyuki Tanimura, Ryuta Nara, Shunitsu Kohara, Kazunori Shimizu, Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
2008 ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, VOLS 1 AND 2 667 - 672 2008 [Refereed]
GECOM: Test data compression combined with all unknown response masking
Youhua Shi, Nozontu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
2008 ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, VOLS 1 AND 2 537 - 542 2008 [Refereed]
Unknown Response Masking with Minimized Observable Response Loss and Mask Data
Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS 2008), VOLS 1-4 1779 - + 2008 [Refereed]
Design for secure test - A case study on pipelined Advanced Encryption Standard
Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11 149 - 152 2007 [Refereed]
Low-cost IP core test using muiltiple-mode loading scan chain and scan chain clusters
Gang Zeng, Youhua Shi, Toshinori Takabatake, Masao Yanagisawa, Hideo Ito
21ST IEEE INTERNATIONAL SYMPOSIUM ON DEFECT AND FAULT-TOLERANCE IN VLSI SYSTEMS, PROCEEDINGS 136 - + 2006 [Refereed]
FCSCAN: An efficient multiscan-based test compression technique for test cost reduction
Youhua Shi, Nozomu Togawa, Shinji Kimura, Masao Yanagisawa, Tatsuo Ohtsuki
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS 653 - 658 2006 [Refereed]
Selective Low-Care Coding: A Means for Test Data Compression in Circuits with Multiple Scan Chains.
Youhua Shi, Nozomu Togawa, Shinji Kimura, Masao Yanagisawa, Tatsuo Ohtsuki
IEICE Transactions 89-A ( 4 ) 996 - 1004 2006 [Refereed]
Selective low-care coding: A means for test data compression in circuits with multiple scan chains
Youhua Shi, Nozomu Togawa, Shinji Kimura, Masao Yanagisawa, Tatsuo Ohtsuki
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E89-A ( 4 ) 996 - 1003 2006
Low power test compression technique for designs with multiple scan chains
YH Shi, N Togawa, S Kimura, M Yanagisawa, T Ohtsuki
14TH ASIAN TEST SYMPOSIUM, PROCEEDINGS 386 - 389 2005 [Refereed]
A selective scan chain reconfiguration through run-length coding for test data compression and scan power reduction
Y Shi, S Kimura, M Yanagisawa, T Ohtsuki
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E87A ( 12 ) 3208 - 3215 2004.12 [Refereed]
A hybrid dictionary test data compression for multiscan-based designs
Y Shi, S Kimura, M Yanagisawa, T Ohtsuki
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E87A ( 12 ) 3193 - 3199 2004.12 [Refereed]
YH Shi, S Kimura, N Togawa, M Yanagisawa, T Ohtsuki
13TH ASIAN TEST SYMPOSIUM, PROCEEDINGS 432 - 437 2004 [Refereed]
A hybrid dictionary test data compression for multiscan-based designs
Youhua Shi, Shinji Kimura, Masao Yanagisawa, Tatsuo Ohtsuki
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E87-A 3193 - 3199 2004.01
Youhua Shi, Shinji Kimura, Masao Yanagisawa, Tatsuo Ohtsuki
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E87-A 3208 - 3214 2004.01
Reducing test data volume for multiscan-based designs through single/sequence mixed encoding
Y Shi, S Kimura, N Togawa, M Yanagisawa, T Ohtsuki
2004 47TH MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL II, CONFERENCE PROCEEDINGS 445 - 448 2004 [Refereed]
A built-in reseeding technique for LFSR-based test pattern generation
Y Shi, Z Zhang, S Kimura, M Yanagisawa, T Ohtsuki
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E86A ( 12 ) 3056 - 3062 2003.12 [Refereed]
Multiple test set generation method for LFSR-Based BIST
YH Shi, Z Zhe
ASP-DAC 2003: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 863 - 868 2003 [Refereed]
A Built-in Reseeding Technique for LFSR-Based Test Pattern Generation
Youhua Shi, Zhe Zhang, Shinji Kimura, Masao Yanagisawa, Tatsuo Ohtsuki
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E86-A 3056 - 3062 2003.01
A new low power BIST methodology by altering the structure of linear feedback shift registers
R Li, C Hu, J Yang, Z Zhang, YH Shi, LX Shi
2001 4TH INTERNATIONAL CONFERENCE ON ASIC PROCEEDINGS 25 646 - 649 2001
A new software for test logic optimization in DFT
Z Zhang, C Hu, R Li, YH Shi, LX Shi
2001 4TH INTERNATIONAL CONFERENCE ON ASIC PROCEEDINGS 654 - 657 2001 [Refereed]
Application and evaluation of CNN with approximate adders
井上 雄太, 戸川 望, 柳澤 政生, 史 又華
回路とシステムワークショップ論文集 Workshop on Circuits and Systems
Presentation date: 2018.05
A low power SRAM design with leakage power reduction
伊藤 卓, 戸川 望, 柳澤 政生, 史 又華
回路とシステムワークショップ論文集 Workshop on Circuits and Systems
Presentation date: 2018.05
MOSs SP-SSHI for low frequency piezoelectric energy harvesting
杉山 貴紀, 戸川 望, 柳澤 政生, 史 又華
回路とシステムワークショップ論文集 Workshop on Circuits and Systems
Presentation date: 2018.05
Soft error tolerant latch designs with low power consumption (invited paper)
Saki Tajima, Nozomu Togawa, Masao Yanagisawa, Youhua Shi
Proceedings of International Conference on ASIC
Presentation date: 2018.01
A low cost and high speed CSD-based symmetric transpose block FIR implementation
Jinghao Ye, Youhua Shi, Nozomu Togawa, Masao Yanagisawa
Proceedings of International Conference on ASIC
Presentation date: 2018.01
Design of a soft error detection latch using internal node
中垣 直道, 戸川 望, 柳澤 政生, 史 又華
回路とシステムワークショップ論文集 Workshop on Circuits and Systems
Presentation date: 2017.05
C-element based soft-error hardened latch designs
田島 咲季, 戸川 望, 柳澤 政生, 史 又華
回路とシステムワークショップ論文集 Workshop on Circuits and Systems
Presentation date: 2017.05
Maximum error distance-based optimization of GeAr circuits
早水 謙, 戸川 望, 柳澤 政生, 史 又華
回路とシステムワークショップ論文集 Workshop on Circuits and Systems
Presentation date: 2017.05
Self-powered switching magnetic transformer circuit for energy harvesting systems
川合 洋平, 戸川 望, 柳澤 政生, 史 又華
回路とシステムワークショップ論文集 Workshop on Circuits and Systems
Presentation date: 2017.05
Shinnosuke Yoshida, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
Proceedings - 2015 IEEE 11th International Conference on ASIC, ASICON 2015
Presentation date: 2016.07
A low-power soft error tolerant latch scheme
Saki Tajima, Youhua Shi, Nozomu Togawa, Masao Yanagisawa
Proceedings - 2015 IEEE 11th International Conference on ASIC, ASICON 2015
Presentation date: 2016.07
In-situ Trojan authentication for invalidating hardware-Trojan functions
Masaru Oya, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
Proceedings - International Symposium on Quality Electronic Design, ISQED
Presentation date: 2016.05
A delay variation and floorplan aware high-level synthesis algorithm with body biasing
Koki Igawa, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
Proceedings - International Symposium on Quality Electronic Design, ISQED
Presentation date: 2016.05
Fast and Low-power Soft-error Tolerant Fast-SEH Latch
田島 咲季, 史 又華, 戸川 望, 柳澤 政生
回路とシステムワークショップ論文集 Workshop on Circuits and Systems
Presentation date: 2016.05
Koki Igawa, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
International System on Chip Conference
Presentation date: 2016.02
Scan-based side-channel attack against symmetric key ciphers using scan signatures
Mika Fujishiro, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
Proceedings of the 2015 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC 2015
Presentation date: 2015.09
FPGA-based SHA-3 acceleration on a 32-bit processor via instruction set extension
Yi Wang, Youhua Shi, Chao Wang, Yajun Ha
Proceedings of the 2015 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC 2015
Presentation date: 2015.09
A floorplan-aware high-level synthesis technique with delay-variation tolerance
Kazushi Kawamura, Yuta Hagio, Youhua Shi, Nozomu Togawa
Proceedings of the 2015 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC 2015
Presentation date: 2015.09
Shuai Shao, Youhua Shi, Wentao Dai, Jianyi Meng, Weiwei Shan
Proceedings of the 2015 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC 2015
Presentation date: 2015.09
A Score-Based Classification Method for Identifying Hardware-Trojans Inserted/Free Gate-Level Netlists
Presentation date: 2015.03
A score-based classification method for identifying Hardware-Trojans at gate-level netlists
Masaru Oya, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
Proceedings -Design, Automation and Test in Europe, DATE
Presentation date: 2015.01
In-situ timing monitoring methods for variation-resilient designs
Youhua Shi, Nozomu Togawa
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS
Presentation date: 2015.01
An area-overhead-oriented monitoring-path selection algorithm for suspicious timing error prediction
Shinnosuke Yoshida, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS
Presentation date: 2015.01
Secure scan design using improved random order and its evaluations
Masaru Oya, Yuta Atobe, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS
Presentation date: 2015.01
In-situ Timing Monitoring Methods for Variation-Resilient Designs
Presentation date: 2014.11
An Area-Overhead-Oriented Monitoring-Path Selection Algorithm for Suspicious Timing Error Prediction
Presentation date: 2014.11
Secure Scan Design Using Improved Random Order and its Evaluations
Presentation date: 2014.11
A Network-flow-based Checkpoint Insertion Algorithm for Suspicious Timing Error Prediction Scheme
吉田 慎之介, 史 又華, 柳澤 政生
回路とシステムワークショップ論文集 Workshop on Circuits and Systems
Presentation date: 2014.08
InTimeTune: A Throughput Driven Timing Speculation Architecture for Overscaled Designs
Presentation date: 2014.06
Throughput Driven Check Point Selection in Suspicious Timing Error Prediction based Designs
Presentation date: 2014.02
Throughput driven check point selection in suspicious timing error prediction based designs
Hiroaki Igarashi, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
2014 IEEE 5th Latin American Symposium on Circuits and Systems, LASCAS 2014 - Conference Proceedings
Presentation date: 2014.01
Secure Scan Design with Dynamically Configurable Connection
Presentation date: 2013.12
Predication based Timing Speculation Technique for Throughput Improvement
Presentation date: 2013.11
Concurrent faulty clock detection for crypto circuits against clock glitch based DFA
Hiroaki Igarashi, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
Proceedings - IEEE International Symposium on Circuits and Systems
Presentation date: 2013.09
Shin Ya Abe, Youhua Shi, Kimiyoshi Usami, Kimiyoshi Usami, Kimiyoshi Usami, Masao Yanagisawa, Masao Yanagisawa, Nozomu Togawa
2013 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT 2013
Presentation date: 2013.08
Random Order Scan Design against Scan-Based Attacks
跡部 悠太, 史 又華, 柳澤 政生
回路とシステムワークショップ論文集 Workshop on Circuits and Systems
Presentation date: 2013.07
Suspicious timing error prediction with in-cycle clock gating
Youhua Shi, Hiroaki Igarashi, Nozomu Togawa, Masao Yanagisawa
Proceedings - International Symposium on Quality Electronic Design, ISQED
Presentation date: 2013.07
Floorplan Driven Architectures and High-level Synthesis Algorithm for Dynamic Multiple Supply Voltages
Presentation date: 2013.06
Concurrent Faulty Clock Detection for Crypto Circuits Against Clock Glitch Based DFA
Presentation date: 2013.05
DR24 An Energy-efficient High-level Synthesis Algorithm Incorporating Interconnection Delays and Dynamic Multiple Supply Voltages
Presentation date: 2013.04
Suspicious Timing Error Detection and Recovery with In-Cycle Clock Gating
Presentation date: 2013.03
Secure scan design with dynamically configurable connection
Yuta Atobe, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
Proceedings of IEEE Pacific Rim International Symposium on Dependable Computing, PRDC
Presentation date: 2013.01
State Dependent Scan Flip-Flop with Key-Based Configuration against Scan-Based Side Channel Attack on RSA Circuit
Presentation date: 2012.12
Yuta Atobe, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS
Presentation date: 2012.12
Dynamically changeable secure scan architecture against scan-based side channel attack
Yuta Atobe, Youhua Shi, Masao Yanagisawa, Nozomu Togawa
ISOCC 2012 - 2012 International SoC Design Conference
Presentation date: 2012.12
Dynamically Changeable Architecture against Scan-Based Side Channel, Attack Using State Dependent Scan Flip-Flop on RSA Circuit
Presentation date: 2012.11
VLSI implementation of a fast intra prediction algorithm for H.264/AVC encoding
Youhua Shi, Kenta Tokumitsu, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS
Presentation date: 2010.12
State-dependent changeable scan architecture against scan-based side channel attacks
Ryuta Nara, Hiroshi Atobe, Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
ISCAS 2010 - 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems
Presentation date: 2010.08
Design-for-secure-test for crypto cores
Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
Proceedings - International Test Conference
Presentation date: 2009.12
Unknown response masking with minimized observable response loss and mask data
Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS
Presentation date: 2008.12
GECOM: Test data compression combined with all unknown response masking
Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC
Presentation date: 2008.08
Scalable unified dual-radix architecture for Montgomery multiplication in GF{P) and GF(2n)
Kazuyuki Tanimura, Ryuta Nara, Shunitsu Kohara, Kazunori Shimizu, Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC
Presentation date: 2008.08
Design for secure test - A case study on pipelined advanced encryption standard
Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
Proceedings - IEEE International Symposium on Circuits and Systems
Presentation date: 2007.09
Low-cost IP core test using multiple-mode loading scan chain and scan chain clusters
Gang Zeng, Youhua Shi, Toshinori Takabatake, Masao Yanagisawa, Hideo Ito
Proceedings - IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems
Presentation date: 2006.12
FCSCAN: An efficient multiscan-based test compression technique for test cost reduction
Youhua Shi, Nozomu Togawa, Shinji Kimura, Masao Yanagisawa, Tatsuo Ohtsuki
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC
Presentation date: 2006.09
Low power test compression technique for designs with multiple scan chains
Youhua Shi, Nozomu Togawa, Shinji Kimura, Masao Yanagisawa, Tatsuo Ohtsuki
Proceedings of the Asian Test Symposium
Presentation date: 2005.12
Youhua Shi, Shinji Kimura, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
Proceedings of the Asian Test Symposium
Presentation date: 2004.12
Reducing test data volume for multiscan-based designs through single/sequence mixed encoding
Youhua Shi, Youhua Shi, Shinji Kimura, Nozomu Togawa, Nozomu Togawa, Masao Yanagisawa, Masao Yanagisawa, Tatsuo Ohtsuki, Tatsuo Ohtsuki
Midwest Symposium on Circuits and Systems
Presentation date: 2004.12
Multiple test set generation method for LFSR-based BIST
Youhua Shi, Zhe Zhang
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC
Presentation date: 2003.01
Efficient self-powered energy harvesting circuit designs
Japan Society for the Promotion of Science Grants-in-Aid for Scientific Research
Project Year :
SHI Youhua
Research on delay test techniques for ultra-low power designs
Japan Society for the Promotion of Science Grants-in-Aid for Scientific Research
Project Year :
SHI YOUHUA
Design Methods for Crypto LSI Implementations and Testing
Japan Society for the Promotion of Science Grants-in-Aid for Scientific Research
Project Year :
YANAGISAWA Masao, NARA Ryuta, SHI Youhua
Automatic False Path Identification and Test Synthesis System Development to Avoid Overtesting
Japan Society for the Promotion of Science Grants-in-Aid for Scientific Research
Project Year :
SHI Youhua
タイミングエラー予測によるばらつき耐性を有するLSI設計技術に関する研究
科学研究費助成事業(早稲田大学) 科学研究費助成事業(基盤研究(C))
Energy-efficient and Real-time FPGA-based YOLOv6 accelerator for Object Detection
X. Sha, Z. Liu, Y. Meng, M. Yanagisawa, Y. Shi
129 - 134 2023.08 [Refereed]
Authorship:Last author, Corresponding author
Research paper, summary (national, other academic conference)
Optimizing Hardware-Friendly Object Detection Network for Edge Devices
Z. Liu, X. Sha, H. Tao, M. Yanagisawa, Y. Shi
124 - 128 2023.08 [Refereed]
Authorship:Last author, Corresponding author
Research paper, summary (national, other academic conference)
エッジデバイス搭載可能なAttention Moduleを用いた動的手話認識システム
孟悦捷, 柳澤政生, 史又華
人工知能学会 第37回全国大会 2023.07 [Refereed]
Authorship:Last author, Corresponding author
Research paper, summary (national, other academic conference)
Attention Mask によるディープフェイク動画像の検出
小野尚紀, 史又華
人工知能学会 第37回全国大会 2023.07 [Refereed]
Authorship:Last author, Corresponding author
Research paper, summary (national, other academic conference)
TFNNを用いた音声感情認識システムに関する考察
新崎正人, 柳澤政生, 史又華
人工知能学会, 第121回 人工知能基本問題研究会 2022.09
Internal/External technical report, pre-print, etc.
自立駆動可能な摩擦帯電エネルギーハーベスティング回路の設計
山本圭乃, 蘇怡瑞, 柳澤政生, 史又華
回路とシステムワークショップ論文集 Workshop on Circuits and Systems 35 53 - 58 2022.08 [Refereed]
Research paper, summary (national, other academic conference)
人の動作によるエネルギーハーベスティングのための圧電素子の実機実験
山口航, 柳澤政生, 史又華
回路とシステムワークショップ論文集 Workshop on Circuits and Systems 35 263 - 268 2022.08 [Refereed]
Research paper, summary (national, other academic conference)
リーク削減による低消費電力SRAMの設計—A low power SRAM design with leakage power reduction
伊藤 卓, 戸川 望, 柳澤 政生, 史 又華
回路とシステムワークショップ論文集 Workshop on Circuits and Systems 31 197 - 202 2018.05 [Refereed]
低周波圧電エネルギーハーベスティングにおけるMOSs SP-SSHI手法—MOSs SP-SSHI for low frequency piezoelectric energy harvesting
杉山 貴紀, 戸川 望, 柳澤 政生, 史 又華
回路とシステムワークショップ論文集 Workshop on Circuits and Systems 31 86 - 91 2018.05 [Refereed]
CNNに対する概算加算器の適用と評価—Application and evaluation of CNN with approximate adders
井上 雄太, 戸川 望, 柳澤 政生, 史 又華
回路とシステムワークショップ論文集 Workshop on Circuits and Systems 31 191 - 196 2018.05 [Refereed]
Self-powered switching magnetic transformer circuit for energy harvesting systems
30 1 - 6 2017.05 [Refereed]
Timing-error-tolerant AES cipher
吉田 慎之介, 史 又華, 柳澤 政生
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 115 ( 465 ) 73 - 78 2016.02
In-situ Hardware-Trojan Authentication for Invalidating Malicious Functions
大屋 優, 史 又華, 柳澤 政生
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 115 ( 465 ) 79 - 84 2016.02
A Quantitative Criterion of Gate-Level Netlist Vulnerability
大屋 優, 史 又華, 山下 哲孝
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 115 ( 339 ) 141 - 146 2015.12
A Quantitative Criterion of Gate-Level Netlist Vulnerability
大屋 優, 史 又華, 山下 哲孝
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 115 ( 338 ) 141 - 146 2015.12
A low-power soft error tolerant latch scheme on 15nm process
田島 咲季, 史 又華, 戸川 望
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 115 ( 338 ) 123 - 127 2015.12
A low-power soft error tolerant latch scheme on 15nm process
田島 咲季, 史 又華, 戸川 望
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 115 ( 339 ) 123 - 127 2015.12
A-9-2 Low-power soft-error tolerant New-SEH latch scheme
TAJIMA Saki, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao
Proceedings of the IEICE Engineering Sciences Society/NOLTA Society Conference 2015 106 - 106 2015.08
AES Encryption Circuit against Clock Glitch based Fault Analysis
平野 大輔, 史 又華, 戸川 望
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 115 ( 21 ) 51 - 55 2015.05
AES Encryption Circuit against Clock Glitch based Fault Analysis
平野 大輔, 史 又華, 戸川 望, 柳澤 政生
情報処理学会研究報告. SLDM, [システムLSI設計技術] 2015 ( 10 ) 1 - 5 2015.05
A low-power soft error tolerant latch scheme
TAJIMA Saki, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao
Technical report of IEICE. VLD 114 ( 476 ) 55 - 60 2015.03
A Score-Based Hardware-Trojan Identification Method for Gate-Level Netlists
OYA Masaru, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 114 ( 476 ) 165 - 170 2015.03
A Hardware Trojan Detection Method based on Trojan Net Features
OYA Masaru, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 114 ( 426 ) 157 - 162 2015.01
A Hardware Trojan Detection Method based on Trojan Net Features
OYA Masaru, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
IEICE technical report. Computer systems 114 ( 427 ) 157 - 162 2015.01
A Hardware Trojan Detection Method based on Trojan Net Features
OYA Masaru, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
IEICE technical report 114 ( 428 ) 157 - 162 2015.01
A Hardware Trojan Detection Method based on Trojan Net Features
大屋 優, 史 又華, 柳澤 政生, 戸川 望
情報処理学会研究報告. SLDM, [システムLSI設計技術] 2015 ( 28 ) 1 - 6 2015.01
Design of Flip-Flop with Timing Error Tolerance
SUZUKI Taito, SHI Youhua, TOGAWA Nozomu, USAMI Kimiyoshi, YANAGISAWA Masao
Technical report of IEICE. VLD 114 ( 328 ) 45 - 50 2014.11
Data Dependent Optimization using Suspicious Timing Error Prediction for Reconfigurable Approximation Circuits
KAWAMURA Kazushi, ABE Shinya, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 114 ( 328 ) 51 - 56 2014.11
An Effective Robust Design Using Improved Checkpoint Insertion Algorithm for Suspicious Timing-Error Prediction Scheme and its Evaluations
YOSHIDA Shinnosuke, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 114 ( 328 ) 57 - 62 2014.11
High speed design of sub-threshold circuit by using DTMOS
FUKUDOME Yuji, SHI Youhua, TOGAWA Nozomu, USAMI Kimiyoshi, YANAGISAWA Masao
Technical report of IEICE. VLD 114 ( 328 ) 117 - 121 2014.11
A Hardware Trojans Detection Method focusing on Nets in Hardware Trojans in Gate-Level Netlists
OYA Masaru, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 114 ( 328 ) 135 - 140 2014.11
Energy-efficient High-level Synthesis Algorithm targeting HDR-mcv Architecture with Multiple Clock Domains and Multiple Supply Voltages
ABE Shin-ya, SHI Youhua, USAMI Kimiyoshi, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 114 ( 328 ) 203 - 208 2014.11
Design of Flip-Flop with Timing Error Tolerance
SUZUKI Taito, SHI Youhua, TOGAWA Nozomu, USAMI Kimiyoshi, YANAGISAWA Masao
IEICE technical report. Dependable computing 114 ( 329 ) 45 - 50 2014.11
Data Dependent Optimization using Suspicious Timing Error Prediction for Reconfigurable Approximation Circuits
KAWAMURA Kazushi, ABE Shinya, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
IEICE technical report. Dependable computing 114 ( 329 ) 51 - 56 2014.11
An Effective Robust Design Using Improved Checkpoint Insertion Algorithm for Suspicious Timing-Error Prediction Scheme and its Evaluations
YOSHIDA Shinnosuke, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
IEICE technical report. Dependable computing 114 ( 329 ) 57 - 62 2014.11
High speed design of sub-threshold circuit by using DTMOS
FUKUDOME Yuji, SHI Youhua, TOGAWA Nozomu, USAMI Kimiyoshi, YANAGISAWA Masao
IEICE technical report. Dependable computing 114 ( 329 ) 117 - 121 2014.11
A Hardware Trojans Detection Method focusing on Nets in Hardware Trojans in Gate-Level Netlists
OYA Masaru, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
IEICE technical report. Dependable computing 114 ( 329 ) 135 - 140 2014.11
Energy-efficient High-level Synthesis Algorithm targeting HDR-mcv Architecture with Multiple Clock Domains and Multiple Supply Voltages
ABE Shin-ya, SHI Youhua, USAMI Kimiyoshi, YANAGISAWA Masao, TOGAWA Nozomu
IEICE technical report. Dependable computing 114 ( 329 ) 203 - 208 2014.11
Data Dependent Optimization using Suspicious Timing Error Prediction for Reconfigurable Approximation Circuits
Author not found
研究報告システムとLSIの設計技術(SLDM) 2014 ( 2 ) 1 - 6 2014.11
Design of Flip-Flop with Timing Error Tolerance
鈴木 大渡, 史 又華, 戸川 望, 宇佐美 公良, 柳澤 政生
研究報告システムとLSIの設計技術(SLDM) 2014 ( 1 ) 1 - 6 2014.11
An Effective Robust Design Using Improved Checkpoint Insertion Algorithm for Suspicious Timing-Error Prediction Scheme and its Evaluations
吉田 慎之介, 史 又華, 柳澤 政生, 戸川 望
研究報告システムとLSIの設計技術(SLDM) 2014 ( 3 ) 1 - 6 2014.11
High speed design of sub-threshold circuit by using DTMOS
福留 祐治, 史 又華, 戸川 望, 宇佐美 公良, 柳澤 政生
研究報告システムとLSIの設計技術(SLDM) 2014 ( 21 ) 1 - 5 2014.11
A Hardware Trojans Detection Method focusing on Nets in Hardware Trojans in Gate-Level Netlists
大屋 優, 史 又華, 柳澤 政生, 戸川 望
研究報告システムとLSIの設計技術(SLDM) 2014 ( 24 ) 1 - 6 2014.11
Energy-efficient High-level Synthesis Algorithm targeting HDR-mcv Architecture with Multiple Clock Domains and Multiple Supply Voltages
阿部 晋矢, 史 又華, 宇佐美 公良, 柳澤 政生, 戸川 望
研究報告システムとLSIの設計技術(SLDM) 2014 ( 40 ) 1 - 6 2014.11
Local pulse generation in variable stages pipeline designs for low energy consumption
NII Takayuki, SHI Youhua, TOGAWA Nozomu, USAMI Kimiyoshi, YANAGISAWA Masao
Technical report of IEICE. VLD 114 ( 231 ) 7 - 12 2014.10
Local pulse generation in variable stages pipeline designs for low energy consumption
NII Takayuki, SHI Youhua, TOGAWA Nozomu, USAMI Kimiyoshi, YANAGISAWA Masao
IEICE technical report. Image engineering 114 ( 233 ) 7 - 12 2014.10
Local pulse generation in variable stages pipeline designs for low energy consumption
NII Takayuki, SHI Youhua, TOGAWA Nozomu, USAMI Kimiyoshi, YANAGISAWA Masao
Technical report of IEICE. ICD 114 ( 232 ) 7 - 12 2014.10
Local pulse generation in variable stages pipeline designs for low energy consumption
Takayuki Nii, Youhua Shi, Nozomu Togawa, Kimiyoshi Usami, Masao Yanagisawa
研究報告システムとLSIの設計技術(SLDM) 2014 ( 2 ) 1 - 6 2014.09
An Effective Robust Design for Large Delay Variation Using Suspicious Timing-Error Prediction Scheme
吉田 慎之介, 史 又華, 柳澤 政生, 戸川 望
DAシンポジウム2014論文集 2014 61 - 66 2014.08
Latch-based AES Encryption Circuit Against Fault Analysis
SHI Youhua, TANIGUCHI Hiroaki, TOGAWA Nozomu, YANAGISAWA Masao
Technical report of IEICE. VLD 113 ( 454 ) 37 - 42 2014.03
Secure scan design using improved random order scans and its evaluations
OYA Masaru, ATOBE Yuta, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 113 ( 454 ) 43 - 48 2014.03
Experiment and Analysis on Temperature Dependence of Delay and Energy for Subthreshold Circuits
KUSHIDA Hiroki, SHI Youhua, TOGAWA Nozomu, USAMI Kimiyoshi, YANAGISAWA Masao
Technical report of IEICE. VLD 113 ( 454 ) 147 - 151 2014.03
Suspicious timing error prediction using check points
IGARASHI Hiroaki, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
IEICE technical report. Dependable computing 113 ( 321 ) 39 - 44 2013.11
Clock Energy-efficient High-level Synthesis and Experimental Evaluation for HDR-mcd Architecture
ABE Shin-ya, SHI Youhua, USAMI Kimiyoshi, YANAGISAWA Masao, TOGAWA Nozomu
IEICE technical report. Dependable computing 113 ( 321 ) 263 - 268 2013.11
Suspicious timing error prediction using check points
IGARASHI Hiroaki, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 113 ( 320 ) 39 - 44 2013.11
Clock Energy-efficient High-level Synthesis and Experimental Evaluation for HDR-mcd Architecture
ABE Shin-ya, SHI Youhua, USAMI Kimiyoshi, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 113 ( 320 ) 263 - 268 2013.11
Suspicious timing error prediction using check points
五十嵐 博昭, 史 又華, 柳澤 政生, 戸川 望
研究報告システムLSI設計技術(SLDM) 2013 ( 8 ) 1 - 6 2013.11
Clock Energy-efficient High-level Synthesis and Experimental Evaluation for HDR-mcd Architecture
阿部 晋矢, 史 又華, 宇佐美 公良, 柳澤 政生, 戸川 望
研究報告システムLSI設計技術(SLDM) 2013 ( 47 ) 1 - 6 2013.11
A Comsideration on Hardware Trojan Detection Specifying Trojan Path
Atobe Yuta, Shi Youhua, Yanagisawa Masao, Togawa Nozomu
Proceedings of the Society Conference of IEICE 2013 48 - 48 2013.09
Data Recoverable AES Circuit Against Differential Fault Analysis
Taniguchi Hiroaki, Shi Youhua, Togawa Nozomu, Yanagisawa Masao
Proceedings of the Society Conference of IEICE 2013 49 - 49 2013.09
Energy-Efficient High-Level Synthesis with Multiple Clock Domain for HDR-mcd
阿部 晋矢, 史 又華, 宇佐美 公良
回路とシステムワークショップ論文集 Workshop on Circuits and Systems 26 185 - 190 2013.07
フロアプランを考慮したマルチクロックドメイン指向の低電力化高位合成手法 (コンピュータシステム 組込み技術とネットワークに関するワークショップETNET2013)
阿部 晋矢, 史 又華, 柳澤 政生, 戸川 望
電子情報通信学会技術研究報告 : 信学技報 112 ( 481 ) 115 - 120 2013.03
フロアプランを考慮したマルチクロックドメイン指向の低電力化高位合成手法
阿部晋矢, 史又華, 柳澤政生, 戸川望
研究報告システムLSI設計技術(SLDM) 2013 ( 20 ) 1 - 6 2013.03
フロアプランを考慮したマルチクロックドメイン指向の低電力化高位合成手法
阿部晋矢, 史又華, 柳澤政生, 戸川望
研究報告組込みシステム(EMB) 2013 ( 20 ) 1 - 6 2013.03
フロアプランを考慮したマルチクロックドメイン指向の低電力化高位合成手法(動作合成,組込み技術とネットワークに関するワークショップETNET2013)
阿部 晋矢, 史 又華, 柳澤 政生, 戸川 望
電子情報通信学会技術研究報告. CPSY, コンピュータシステム 112 ( 481 ) 115 - 120 2013.03
Secure Scan Architecture Using State Dependent Scan Flip-Flop with Key-Based Configuration against Scan-Based Attack
ATOBE Yuta, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 112 ( 320 ) 45 - 50 2012.11
SAAV:Energy-efficient High-level Synthesis Algorithm targeting Adaptive Voltage Huddle-based Distributed Register Architecture with Dynamic Multiple Supply Voltages
ABE Shin-ya, SHI Youhua, USAMI Kimiyoshi, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 112 ( 320 ) 135 - 140 2012.11
Secure Scan Architecture Using State Dependent Scan Flip-Flop with Key-Based Configuration against Scan-Based Attack
ATOBE Yuta, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
IEICE technical report. Dependable computing 112 ( 321 ) 45 - 50 2012.11
SAAV:Energy-efficient High-level Synthesis Algorithm targeting Adaptive Voltage Huddle-based Distributed Register Architecture with Dynamic Multiple Supply Voltages
ABE Shin-ya, SHI Youhua, USAMI Kimiyoshi, YANAGISAWA Masao, TOGAWA Nozomu
IEICE technical report. Dependable computing 112 ( 321 ) 135 - 140 2012.11
Secure Scan Architecture Using State Dependent Scan Flip-Flop with Key-Based Configuration against Scan-Based Attack
跡部 悠太, 史 又華, 柳澤 政生, 戸川 望
研究報告システムLSI設計技術(SLDM) 2012 ( 9 ) 1 - 6 2012.11
SAAV : Energy-efficient High-level Synthesis Algorithm targeting Adaptive Voltage Huddle-based Distributed Register Architecture with Dynamic Multiple Supply Voltages
阿部 晋矢, 史 又華, 宇佐美 公良, 柳澤 政生, 戸川 望
研究報告システムLSI設計技術(SLDM) 2012 ( 24 ) 1 - 6 2012.11
Secure Scan Architecture Using State Dependent Scan Flip-Flop with Key-Based Configuration on RSA Circuit
ATOBE Yuta, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. ICD 112 ( 247 ) 95 - 100 2012.10
Secure Scan Architecture Using State Dependent Scan Flip-Flop with Key-Based Configuration on RSA Circuit
ATOBE Yuta, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
電子情報通信学会技術研究報告. ICD, 集積回路 112 ( 247 ) 95 - 100 2012.10
Secure Scan Architecture Using State Dependent Scan Flip-Flop with Key-Based Configuration on RSA Circuit
ATOBE Yuta, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
IEICE technical report. Signal processing 112 ( 246 ) 95 - 100 2012.10
Secure Scan Architecture Using State Dependent Scan Flip-Flop with Key-Based Configuration on RSA Circuit
ATOBE Yuta, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 112 ( 245 ) 95 - 100 2012.10
Secure Scan Architecture Using State Dependent Scan Flip-Flop with Key-Based Configuration on RSA Circuit
ATOBE Yuta, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
IEICE technical report. Image engineering 112 ( 248 ) 95 - 100 2012.10
Secure Scan Architecture Using State Dependent Scan Flip-Flop with Key-Based Configuration on RSA Circuit
跡部 悠太, 史 又華, 柳澤 政生, 戸川 望
研究報告システムLSI設計技術(SLDM) 2012 ( 18 ) 1 - 6 2012.10
A-3-4 AES Cryptosystem Using Clock Falling Edge Against DFA
Igarashi Hiroaki, Shi Youhua, Yanagisawa Masao, Togawa Nozomu
Proceedings of the Society Conference of IEICE 2012 51 - 51 2012.08
A-3-5 Secure Scan Architecture Using State Dependent Scan Flip-Flop with Feedback
Atobe Yuta, Shi Youhua, Yanagisawa Masao, Togawa Nozomu
Proceedings of the Society Conference of IEICE 2012 52 - 52 2012.08
Secure Scan Architecture on RSA Circuit Using State Dependent Scan Flip Flop against Scan-Based Side Channel Attack
ATOBE Yuta, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
IEICE technical report. Signal processing 112 ( 115 ) 115 - 120 2012.06
Secure Scan Architecture on RSA Circuit Using State Dependent Scan Flip Flop against Scan-Based Side Channel Attack
ATOBE Yuta, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
Mathematical Systems Science and its Applications : IEICE technical report 112 ( 116 ) 115 - 120 2012.06
Secure Scan Architecture on RSA Circuit Using State Dependent Scan Flip Flop against Scan-Based Side Channel Attack
ATOBE Yuta, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
IEICE technical report. Circuits and systems 112 ( 113 ) 115 - 120 2012.06
Secure Scan Architecture on RSA Circuit Using State Dependent Scan Flip Flop against Scan-Based Side Channel Attack
ATOBE Yuta, SHI Youhua, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 112 ( 114 ) 115 - 120 2012.06
An Energy-efficient ASIP Synthesis Method Using Scratchpad Memory and Code Placement Optimization
SHIMADA Yoshinori, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE technical report 110 ( 432 ) 25 - 30 2011.02
Dynamically Variable Secure Scan Architecture against Scan-based Side Channel Attack on Cryptography LSIs
ATOBE Hiroshi, NARA Ryuta, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
情報処理学会研究報告システムLSI設計技術(SLDM) 2008 ( 111 ) 55 - 59 2008.11
Dynamically Variable Secure Scan Architecture against Scan-based Side Channel Attack on Cryptography LSIs
ATOBE Hiroshi, NARA Ryuta, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE technical report 108 ( 298 ) 55 - 59 2008.11
Dynamically Variable Secure Scan Architecture against Scan-based Side Channel Attack on Cryptography LSIs
ATOBE Hiroshi, NARA Ryuta, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE technical report 108 ( 299 ) 55 - 59 2008.11
An Energy-efficent ASIP Synthesis Method Based on Reducing Bit-width of Instruction Memory
KOHARA Shunitsu, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE technical report 107 ( 509 ) 25 - 30 2008.03
An energy-efficient ASIP synthesis method based on reducing bit-width of instruction memory
KOHARA Shunitsu, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE technical report 107 ( 506 ) 25 - 30 2008.03
Scalable Dual-Radix Unified Montgomery Multiplier in GF(P) and GF(2^n)
TANIMURA Kazuyuki, NARA Ryuta, KOHARA Shunitsu, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE technical report 107 ( 101 ) 43 - 48 2007.06
Scalable Dual-Radix Unified Montgomery Multiplier in GF(P) and GF(2^n)
TANIMURA Kazuyuki, NARA Ryuta, KOHARA Shunitsu, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE technical report 107 ( 105 ) 43 - 48 2007.06
Scalable Dual-Radix Unified Montgomery Multiplier in GF(P) and GF(2^n)
TANIMURA Kazuyuki, NARA Ryuta, KOHARA Shunitsu, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE technical report 107 ( 103 ) 43 - 48 2007.06
CoDaMa: An XML-based Framework for Manipulating CDFGs
KOARA Shunitsu, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
情報処理学会研究報告システムLSI設計技術(SLDM) 2007 ( 2 ) 73 - 78 2007.01
CoDaMa : An XML-based Framework for Manipulation CDFGs
KOARA Shunitsu, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE technical report 106 ( 456 ) 19 - 24 2007.01
CoDaMa : An XML-based Framework for Manipulating CDFGs
KOARA Shunitsu, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE technical report 106 ( 458 ) 19 - 24 2007.01
CoDaMa : An XML-based Framework for Manipulation CDFGs
KOARA Shunitsu, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE technical report 106 ( 454 ) 19 - 24 2007.01
A Forwarding Unit Optimization Method for Application Processors
HIURA Toshihiro, KOHARA Shunitsu, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
情報処理学会研究報告システムLSI設計技術(SLDM) 2006 ( 126 ) 181 - 186 2006.11
A Forwarding Unit Optimization Method for Application Processors
HIURA Toshihiro, KOHARA Shunitsu, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE technical report 106 ( 389 ) 49 - 54 2006.11
A Forwarding Unit Optimization Method for Application Processors
HIURA Toshihiro, KOHARA Shunitsu, SHI Youhua, TOGAWA Nozomu, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE technical report 106 ( 392 ) 49 - 54 2006.11
Master's Thesis (Department of Electronic and Physical Systems)
Graduate School of Fundamental Science and Engineering
2024 full year
Master's Thesis (Department of Electronic and Physical Systems)
Graduate School of Fundamental Science and Engineering
2024 full year
Seminar on Integrated System Design D
Graduate School of Fundamental Science and Engineering
2024 fall semester
Seminar on Integrated System Design C
Graduate School of Fundamental Science and Engineering
2024 spring semester
Seminar on Integrated System Design B
Graduate School of Fundamental Science and Engineering
2024 fall semester
Seminar on Integrated System Design A
Graduate School of Fundamental Science and Engineering
2024 spring semester
Graduate School of Fundamental Science and Engineering
2024 fall semester
Research on Integrated System Design
Graduate School of Fundamental Science and Engineering
2024 full year
Seminar on Integrated System Design D
Graduate School of Fundamental Science and Engineering
2024 fall semester
Seminar on Integrated System Design C
Graduate School of Fundamental Science and Engineering
2024 spring semester
Seminar on Integrated System Design B
Graduate School of Fundamental Science and Engineering
2024 fall semester
Seminar on Integrated System Design A
Graduate School of Fundamental Science and Engineering
2024 spring semester
Research on Integrated System Design
Graduate School of Fundamental Science and Engineering
2024 full year
Graduate School of Fundamental Science and Engineering
2024 fall semester
Research on Integrated System Design
Graduate School of Fundamental Science and Engineering
2024 full year
Graduate School of Fundamental Science and Engineering
2024 fall semester
Graduate School of Fundamental Science and Engineering
2024 fall semester
Introduction to Electronic and Physical Systems
School of Fundamental Science and Engineering
2024 an intensive course(spring)
Electronic and Physical Systems Practice A [S Grade]
School of Fundamental Science and Engineering
2024 spring semester
Electronic and Physical Systems Practice A
School of Fundamental Science and Engineering
2024 spring semester
Introduction to Electronic and physical systems [S Grade]
School of Fundamental Science and Engineering
2024 spring semester
Electronic and Physical Systems Laboratory C [S Grade]
School of Fundamental Science and Engineering
2024 fall semester
Special Seminar on Electronic and Physical Systems
School of Fundamental Science and Engineering
2024 fall semester
Electronic and Physical Systems Laboratory C
School of Fundamental Science and Engineering
2024 fall semester
Electronic and Physical Systems Laboratory B [S Grade]
School of Fundamental Science and Engineering
2024 spring semester
Introduction to Electronic and physical systems
School of Fundamental Science and Engineering
2024 spring semester
Electronic and Physical Systems Practice B
School of Fundamental Science and Engineering
2024 fall semester
Introduction to Electronic and Physical Systems [S Grade]
School of Fundamental Science and Engineering
2024 an intensive course(spring)
Electronic and Physical Systems Laboratory B
School of Fundamental Science and Engineering
2024 spring semester
Electronic and Physical Systems Laboratory A [S Grade]
School of Fundamental Science and Engineering
2024 fall semester
Electronic and Physical Systems Laboratory A
School of Fundamental Science and Engineering
2024 fall semester
Electronic and Physical Systems Practice B [S Grade]
School of Fundamental Science and Engineering
2024 fall semester
Faculty of Science and Engineering Graduate School of Fundamental Science and Engineering
Waseda Research Institute for Science and Engineering Concurrent Researcher
Waseda Center for a Carbon Neutral Society Concurrent Researcher
2022 蘇怡瑞
Click to view the Scopus page. The data was downloaded from Scopus API in December 20, 2024, via http://api.elsevier.com and http://www.scopus.com .