Research Experience
-
2002-Now
Professor at Waseda University
-
1993-2002
Associate Professor at Nara Institute of Science and Technology
-
1985-1993
Assistant Professor at Dept. of Electric Engineering, Kobe University
Details of a Researcher
Updated on 2024/12/21
Professor at Waseda University
Associate Professor at Nara Institute of Science and Technology
Assistant Professor at Dept. of Electric Engineering, Kobe University
Kyoto University Graduate School of Engineering Doctor Course on Information Engineering
Kyoto University Graduate School of Engineering Master Course on Information Engineering
Kyoto University Faculty of Engineering
Associations for Computing Machinery
IPSJ
IEICE
IEEE
The 14th Workshop on Synthesis And System Integration of Mixed Information technologies
The 15th Workshop on Synthesis And System Integration of Mixed Information technologies
VLSI Design Technologies WG, IEICE
Information Processing Society in Japan
International Conference on Computer Aided Design
Asia and South Pacific Design Automation Conference
Logic Circuit Design and Verification, High-level Synthesis and Verification, Electronic Design Automation, LSI
編集活動感謝状
2012.09
日経 BP 社, LSI IP デザインアワード, IP 賞
2000
Asian South-Pacific Design Automation Conference, University LSI Design Contest
2000
日経 BP 社, LSI IP デザインアワード, IP 賞
1999
情報処理学会 全国大会 第45回 奨励賞
1993.03
Jie Li, Yi Guo, Shinji Kimura
2020 IEEE Region 10 Conference(TENCON) 1311 - 1316 2020
Approximate FPGA-Based Multipliers Using Carry-Inexact Elementary Modules.
Yi Guo, Heming Sun, Ping Lei, Shinji Kimura
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 103-A ( 9 ) 1054 - 1062 2020
Small-Area and Low-Power FPGA-Based Multipliers using Approximate Elementary Modules.
Yi Guo, Heming Sun, Shinji Kimura
Proc. of ASP-DAC 2020 599 - 604 2020 [Refereed]
Energy-Efficient and High-Speed Approximate Signed Multipliers with Sign-Focused Compressors.
Yi Guo, Heming Sun, Shinji Kimura
Proc. of 2019 32nd IEEE International System-on-Chip Conference (SOCC) 330 - 335 2019 [Refereed]
Approximate Multiplier Using Reordered 4-2 Compressor with OR-based Error Compensation.
Yufeng Xu, Yi Guo, Shinji Kimura
Proc. of 2019 IEEE 13th International Conference on ASIC (ASICON) 1 - 4 2019 [Refereed]
Approximate DCT Design for Video Encoding Based on Novel Truncation Scheme.
Heming Sun, Zhengxue Cheng, Amir Masoud Gharehbaghi, Shinji Kimura, Masahiro Fujita
IEEE Trans. Circuits Syst. I Regul. Pap. 66-I ( 4 ) 1517 - 1530 2019 [Refereed]
Design of Low-Cost Approximate Multipliers Based on Probability-Driven Inexact Compressors.
Yi Guo, Heming Sun, Ping Lei, Shinji Kimura
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 102-A ( 12 ) 1781 - 1791 2019 [Refereed]
Design of Power and Area Efficient Lower-Part-OR Approximate Multiplier.
Yi Guo, Heming Sun, Shinji Kimura
TENCON 2018 - 2018 IEEE Region 10 Conference(TENCON) 2110 - 2115 2018 [Refereed]
Zhenhao Liu, Yi Guo, Xiaoting Sun, Shinji Kimura
TENCON 2018 - 2018 IEEE Region 10 Conference(TENCON) 545 - 550 2018 [Refereed]
Sparseness Ratio Allocation and Neuron Re-pruning for Neural Networks Compression.
Li Guo 0006, Dajiang Zhou, Jinjia Zhou, Shinji Kimura
IEEE International Symposium on Circuits and Systems(ISCAS) 1 - 5 2018 [Refereed]
Embedded Frame Compression for Energy-Efficient Computer Vision Systems.
Li Guo 0006, Dajiang Zhou, Jinjia Zhou, Shinji Kimura
IEEE International Symposium on Circuits and Systems(ISCAS) 1 - 5 2018 [Refereed]
Xiaoting Sun, Yi Guo, Zhenhao Liu, Shinji Kimura
25th IEEE International Conference on Electronics, Circuits and Systems(ICECS) 777 - 780 2018 [Refereed]
Canran Jin, Heming Sun, Shinji Kimura
23rd Asia and South Pacific Design Automation Conference(ASP-DAC) 190 - 195 2018 [Refereed]
Zhifeng Zhang, Dajiang Zhou, Shihao Wang, Shinji Kimura
23rd Asia and South Pacific Design Automation Conference(ASP-DAC) 184 - 189 2018 [Refereed]
Low-Cost Approximate Multiplier Design using Probability-Driven Inexact Compressors.
Yi Guo, Heming Sun, Li Guo 0006, Shinji Kimura
2018 IEEE Asia Pacific Conference on Circuits and Systems(APCCAS) 291 - 294 2018 [Refereed]
Towards Ultrasound Everywhere: A Portable 3D Digital Back-End Capable of Zone and Compound Imaging.
Aya Ibrahim, Shuping Zhang, Federico Angiolini, Marcel Arditi, Shinji Kimura, Satoshi Goto, Jean-Philippe Thiran, Giovanni De Micheli
IEEE Trans. Biomed. Circuits Syst. 12 ( 5 ) 968 - 981 2018 [Refereed]
Lossy Compression for Embedded Computer Vision Systems.
Li Guo 0006, Dajiang Zhou, Jinjia Zhou, Shinji Kimura, Satoshi Goto
IEEE Access 6 39385 - 39397 2018 [Refereed]
A Variable-Clock-Cycle-Path VLSI Design of Binary Arithmetic Decoder for H.265/HEVC.
Jinjia Zhou, Dajiang Zhou, Shuping Zhang, Shinji Kimura, Satoshi Goto
IEEE Trans. Circuits Syst. Video Technol. 28 ( 2 ) 556 - 560 2018
Development of TOF-PET using Compton scattering by plastic scintillators
Kuramoto, M, Nakamori, T, Kimura, S, Gunji, S, Takakura, M, Kataoka, J
Nuclear Instruments and Methods in Physics Research, Section A: Accelerators, Spectrometers, Detectors and Associated Equipment 845 668 - 672 2017.02
Distortion Control and Optimization for Lossy Embedded Compression in Video Codec System
GUO Li, ZHOU Dajiang, KIMURA Shinji, GOTO Satoshi
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences 100 ( 11 ) 2416 - 2424 2017
A 7-Die 3D Stacked 3840×2160@120 fps Motion Estimation Processor.
Shuping Zhang, Jinjia Zhou, Dajiang Zhou, Shinji Kimura, Satoshi Goto
IEICE Trans. Electron. 100-C ( 3 ) 223 - 231 2017 [Refereed]
Accelerating HEVC Inter Prediction with Improved Merge Mode Handling.
Zhengxue Cheng, Heming Sun, Dajiang Zhou, Shinji Kimura
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 100-A ( 2 ) 546 - 554 2017 [Refereed]
An 8K H.265/HEVC Video Decoder Chip With a New System Pipeline Design.
Dajiang Zhou, Shihao Wang, Heming Sun, Jian-Bin Zhou, Jiayi Zhu, Yijin Zhao, Jinjia Zhou, Shuping Zhang, Shinji Kimura, Takeshi Yoshimura, Satoshi Goto
J. Solid-State Circuits 52 ( 1 ) 113 - 126 2017 [Refereed]
A-6-3 Reduction of Rewriting Routing Switches for Reconfiguration of NanoBridge Based FPGA
Aoki Kohei, Yanagisawa Masao, Kimura Shinji
Proceedings of the IEICE Engineering Sciences Society/NOLTA Society Conference 2016 77 - 77 2016.03
A 4Gpixel/s 8/10b H.265/HEVC Video Decoder Chip for 8K Ultra HD Applications
Dajiang Zhou, Shihao Wang, Heming Sun, Jianbin Zhou, Jiayi Zhu, Yijin Zhao, Jinjia Zhou, Shuping Zhang, Shinji Kimura, Takeshi Yoshimura, Satoshi Goto
2016 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE (ISSCC) 59 266 - U369 2016 [Refereed]
CNN-MERP: An FPGA-Based Memory-Efficient Reconfigurable Processor for Forward and Backward Propagation of Convolutional Neural Networks
Xushen Han, Dajiang Zhou, Shihao Wang, Shinji Kimura
PROCEEDINGS OF THE 34TH IEEE INTERNATIONAL CONFERENCE ON COMPUTER DESIGN (ICCD) 320 - 327 2016 [Refereed]
Control Signal Extraction for Sequential Clock Gating Using Time Expansion of Sequential Circuits
2015 ( 6 ) 1 - 6 2015.05
A Bit-Write Reduction Method based on Error-Correcting Codes for Non-Volatile Memories
Masashi Tawada, Shinji Kimura, Masao Yanagisawa, Nozomu Togawa
2015 20TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC) 496 - 501 2015 [Refereed]
ECC-Based Bit-Write Reduction Code Generation for Non-Volatile Memory
TAWADA Masashi, KIMURA Shinji, YANAGISAWA Masao, TOGAWA Nozomu
IEICE Trans. Fundamentals 98 ( 12 ) 2494 - 2504 2015
Low-Power Motion Estimation Processor with 3D Stacked Memory
ZHANG Shuping, ZHOU Jinjia, ZHOU Dajiang, KIMURA Shinji, GOTO Satoshi
IEICE Trans. Fundamentals 98 ( 7 ) 1431 - 1441 2015
Fast SAO Estimation Algorithm and Its Implementation for 8 K x 4 K @ 120 FPS HEVC Encoding
Jiayi Zhu, Dajiang Zhou, Shinji Kimura, Satoshi Goto
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E97A ( 12 ) 2488 - 2497 2014.12 [Refereed]
Small-Sized Encoder/Decoder Circuit Design for Bit-Write Reduction Targeting Non-Volatile Memories
TAWADA Masashi, KIMURA Shinji, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 114 ( 328 ) 227 - 232 2014.11
AN AREA-EFFICIENT 4/8/16/32-POINT INVERSE DCT ARCHITECTURE FOR UHDTV HEVC DECODER
Heming Sun, Dajiang Zhou, Jiayi Zhu, Shinji Kimura, Satoshi Goto
2014 IEEE VISUAL COMMUNICATIONS AND IMAGE PROCESSING CONFERENCE 197 - 200 2014 [Refereed]
Fast SAO Estimation Algorithm and Its Implementation for 8K×4K @ 120 FPS HEVC Encoding
ZHU Jiayi, ZHOU Dajiang, KIMURA Shinji, GOTO Satoshi
IEICE Trans. Fundamentals 97 ( 12 ) 2488 - 2497 2014
SHINOHARA Hiroyuki, YANAGISAWA Masao, KIMURA Shinji
Technical report of IEICE. VLD 113 ( 416 ) 167 - 172 2014.01
Power Reduction of Non-volatile Logic Circuits Using the Minimum Writing Power Cut-set of State Registers
ITOI Yudai, KIMURA Shinji
Technical report of IEICE. VLD 113 ( 320 ) 147 - 152 2013.11
Energy Evaluation of Writing Reduction Method for Non-Volatile Memory
TAWADA Masashi, KIMURA Shinji, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 113 ( 320 ) 141 - 146 2013.11
ITOI Yudai, KIMURA Shinji
IEICE technical report. Dependable computing 113 ( 321 ) 147 - 152 2013.11
Energy Consumption Evaluation for Two-Level Cache with Non-Volatile Memory Targeting Mobile Processors
Shota Matsuno, Masashi Tawada, Masao Yanagisawa, Shinji Kimura, Tadahiko Sugibayashi, Nozomu Togawa
IEEK Transactions on Smart Processing and Computing Vol. 2 ( No. 4 ) 226 - 239 2013.08
Low Power Memory Based Design Method of Constant Multipliers for Digital Filters
KABASAWA Kosuke, SUGIBAYASHI Tadahiko, YANAGISAWA Masao, KIMURA Shinji
Technical report of IEICE. VLD 113 ( 119 ) 101 - 106 2013.07
TAWADA Masashi, KIMURA Shinji, YANAGISAWA Masao, TOGAWA Nozomu
Technical report of IEICE. VLD 113 ( 119 ) 95 - 100 2013.07
MATSUNO Shota, TAWADA Masashi, YANAGISAWA Masao, KIMURA Shinji, TOGAWA Nozomu, SUGIBAYASHI Tadahiko
Technical report of IEICE. VLD 113 ( 119 ) 89 - 94 2013.07
A-3-7 REDUCING THE WRITING BITS TO NON-VOLATILE MEMORY BY HOLDING DATA DIFFERENCE
Shinohara Hiroyuki, Yanagisawa Masao, Kimura Shinji
Proceedings of the IEICE General Conference 2013 67 - 67 2013.03
Energy Evaluation for Two-level On-chip Cache with Non-Volatile Memory on Mobile Processors
Shota Matsuno, Masashi Tawada, Masao Yanagisawa, Shinji Kimura, Nozomu Togawa, Tadahiko Sugibayashi
2013 IEEE 10TH INTERNATIONAL CONFERENCE ON ASIC (ASICON) 2013 [Refereed]
Write Reduction for Non-volatile Registers Using the Max-flow Min-cut
ITOI Yudai, KIMURA Shinji
112 ( 247 ) 101 - 106 2012.10
Multi-Stage Power Gating Based on Controlling Values of Logic Gates
Yu Jin, Shinji Kimura
Proc. IEEE International Symposium on ASIC (ASICON) 87 - 90 2011.10
Low Power LSI Design Methods Based on Gating Technology
Shinji Kimura
Keynote Speech of IEEE International Conference on ASIC (ASICON) 2011.10
Comparison of Optimized Multi-Stage Clock Gating with Structural Gating Approach
Xin Man, Shinji Kimura
2011 IEEE REGION 10 CONFERENCE TENCON 2011 651 - 656 2011 [Refereed]
Acceleration of a SAT Based Solver for Minimum Cost Satisfiability Problems Us ing Optimized Boolean Constraint Propagation
Xin Zhang, Peilin Liu, Shinji Kimura
Proc. of 16th Workshop on Synthesis And System Integration of Mixed Information Technologies 365 - 370 2010.10
The Sizing of Sleep Transistors In Controlling Value Based Power Gating
Lei Chen, Shinji Kimura
Proc. of 16th Workshop on Synthesis And System Integration of Mixed Information Technologies 202 - 207 2010.10
Automatic Clock Gating Generation through Power-optimal Control Signal Selection
MAN Xin, HORIYAMA Takashi, KIMURA Shinji
2010 ( 1 ) 1 - 6 2010.05
Multi-Operand Adder Synthesis on FPGAs Using Generalized Parallel Counters
Taeko Matsunaga, Shinji Kimura, Yusuke Matsunaga
2010 15TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC 2010) 332 - + 2010 [Refereed]
Framework for Parallel Prefix Adder Synthesis Considering Switching Activities
Taeko Matsunaga, Shinji Kimura, Yusuke Matsunaga
IPSJ Trans. SLDM 212 - 221 2009.08
Automatic pipeline generation for fpga-based prototyping
W. Xing, K. Zheng, T. Kimura, S. Kuromaru, K. Kai, S. Kimura
Proc. 15th Workshop on Synthesis And System Integration of Mixed Information technologies 155 - 160 2009.03
Assertion checker synthesis for FPGA emulation
C. Zang, Q. Wei, S. Kimura
Proc. 15th Workshop on Synthesis And System Integration of Mixed Information technologies 149 - 154 2009.03
Fine-Grained Power Gating Based on the Controlling Value of Logic Elements
Lei Chen, Takashi Horiyama, Yuichi Nakamura, Shinji Kimura
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E91A ( 12 ) 3531 - 3538 2008.12 [Refereed]
Efficient Hybrid Grid Synthesis Method Based on Genetic Algorithm for Power/Ground Network Optimization with Dynamic Signal Consideration
Yun Yang, Shinji Kimura
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E91A ( 12 ) 3431 - 3442 2008.12 [Refereed]
FPGA prototyping of a simultaneous multithreading processor
C. Zang, S. Imai, S. Kimur
Proc. 21th Workshop on Circuits and Systems in Karuizaw 219 - 224 2008.04
The Optimal Architecture Design of Two-Dimensional Matrix Multiplication
Y. Yang, S. Kimura
IEICE Trans. Fundamentals E91-A ( 4 ) 1101 - 1111 2008.04
Synthesis of Parallel Prefix Adders Considering Switching Activities
Taeko Matsunaga, Shinji Kimura, Yusuke Matsunaga
2008 IEEE INTERNATIONAL CONFERENCE ON COMPUTER DESIGN 404 - + 2008 [Refereed]
Resynthesis Method for Circuit Acceleration on LUT-based FPGA
Weijie Xing, Takashi Horiyama, Shunichi Kuromaru, Tomoo Kimura, Shinji Kimura
Proceedings of 14th Workshop on Synthesis And System Integration of Mixed Information technologies 375 - 380 2007.10
Active Mode Leakage Power Reduction Based on the Controlling Value of Logic Gates
Lei Chen, Shinji Kimura
Proceedings of 14th Workshop on Synthesis And System Integration of Mixed Information technologies 266 - 271 2007.10
Power-Conscious Synthesis of Parallel Prefix Adders under Bitwise Timing Constraints
Taeko Matsunaga, Shinji Kimura, Yusuke Matsunaga
Proceedings of 14th Workshop on Synthesis And System Integration of Mixed Information technologies 7 - 14 2007.10
Optimal planar jumping systolic array design for matrix multiplication
Yun Yang, Shinji Kimura
Proceedings of 20th Workshop on Circuits and Systems in Karuizawa 343 - 348 2007.04
Issue Mechanism for Embedded Simultaneous Multithreading Processor
Chengjie Zang, Shigeki Imai, Shinji Kimura
Proceedings of 20th Workshop on Circuits and Systems in Karuizawa 325 - 330 2007.04
Coverage estimation using transition perturbation for symbolic model checking in hardware verification
Xingwen Xu, Shinji Kimura, Kazunari Horikawa, Takehiko Tsuchiya
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E89A ( 12 ) 3451 - 3457 2006.12 [Refereed]
Bit-length optimization method for high-level synthesis based on non-linear programming technique
Nobuhiro Doi, Takashi Horiyama, Masaki Nakanishi, Shinji Kimura
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E89A ( 12 ) 3427 - 3434 2006.12 [Refereed]
An Efficient Instruction Issue Mechanism for Simultaneous Multithreading Microprocessor
Taeseok Jeong, Chengjie Zang, Shinji Kimura
Proc. International SoC Design Conference (ISOCC2006) 533 - 536 2006.10
Performance and Energy Efficient Data Cache Architecture for Embedded Simultaneous Multithreading Microprocessor
Chengjie Zang, Shigeki Imai, Shinji Kimura
International SoC Design Conference (ISOCC2006) 351 - 354 2006.10
Performance and Energy Efficient Data Cache Architecture for Embedded Simultaneous Multithreading Microprocessor
Chengjie Zang, Shigeki Imai, Shinji Kimura
Proceedings of 13th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI2006) 268 - 273 2006.04
Selective low-care coding: A means for test data compression in circuits with multiple scan chains
Youhua Shi, Nozomu Togawa, Shinji Kimura, Masao Yanagisawa, Tatsuo Ohtsuki
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E89-A ( 4 ) 996 - 1003 2006 [Refereed]
FCSCAN: An efficient multiscan-based test compression technique for test cost reduction
Youhua Shi, Nozomu Togawa, Shinji Kimura, Masao Yanagisawa, Tatsuo Ohtsuki
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS 653 - 658 2006 [Refereed]
Transition-based coverage estimation for symbolic model checking
Xingwen Xu, Shinji Kimura, Kazunari Horikawa, Takehiko Tsuchiya
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS 1 - 6 2006 [Refereed]
Functional State Coverage Estimation for CTL Model Checking
Xingwen Xu, Shinji Kimura, Kazunari Horikawa, Takehiko Tsuchiya
Proceeding of the 20th International Technical Conference on Circuits/Systems, Computers and Communications(ITC-CSCC2005) 1 - 2 2005.07
Extended abstract: Transition traversal coverage estimation for symbolic model checking
XW Xu, S Kimura, K Horikawa, T Tsuchiya
THIRD ACM & IEEE INTERNATIONAL CONFERENCE ON FORMAL METHODS AND MODELS FOR CO-DESIGN, PROCEEDINGS 259 - 260 2005 [Refereed]
Duplicated register file design for embedded simultaneous multithreading microprocessor
C Zang, S Imai, S Kimura
2005 6th International Conference on ASIC Proceedings, Books 1 and 2 160 - 163 2005 [Refereed]
Transition traversal coverage estimation for symbolic model checking
XW Xu, S Kimura, K Horikawa, T Tsuchiya
2005 6TH INTERNATIONAL CONFERENCE ON ASIC PROCEEDINGS, BOOKS 1 AND 2 850 - 853 2005 [Refereed]
A selective scan chain reconfiguration through run-length coding for test data compression and scan power reduction
Y Shi, S Kimura, M Yanagisawa, T Ohtsuki
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E87A ( 12 ) 3208 - 3215 2004.12 [Refereed]
A hybrid dictionary test data compression for multiscan-based designs
Y Shi, S Kimura, M Yanagisawa, T Ohtsuki
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E87A ( 12 ) 3193 - 3199 2004.12 [Refereed]
Efficient Hardware Architecture of a New Simple Public-Key Cryptosystem for Real-Time Data Processing
C. Jin, N. Doi, H. Tanaka, S. Imai, S. Kimura
Proc. of Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI'2004) 107 - 112 2004.10
An Optimization Method in Floating-point to Fixed-point Conversion using Positive and Negative Error Analysis and Sharing of Operations
N. Doi, T. Horiyama, M.Nakanishi, S.Kimura
Proc. of Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI'2004) 466 - 471 2004.10
Reconfigurable Architecture for Bit-Level Data Processing
S. Kimura
Invited Talk of The 1st Silicon-Seabelt Workshop on VLSI Designs in National Taiwan University 2004.04
Alternative run-length coding through scan chain reconfiguration for joint minimization of test data volume and power consumption in scan test
Youhua Shi, Shinji Kimura, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki
Proceedings of the Asian Test Symposium 432 - 437 2004 [Refereed]
Minimization of fractional wordlength on fixed-point conversion for high-level synthesis
N Doi, T Horiyama, M Nakanishi, S Kimura
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 80 - 85 2004 [Refereed]
Reducing test data volume for multiscan-based designs through single/sequence mixed encoding
Y Shi, S Kimura, N Togawa, M Yanagisawa, T Ohtsuki
2004 47TH MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL II, CONFERENCE PROCEEDINGS 445 - 448 2004 [Refereed]
A built-in reseeding technique for LFSR-based test pattern generation
Y Shi, Z Zhang, S Kimura, M Yanagisawa, T Ohtsuki
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E86A ( 12 ) 3056 - 3062 2003.12 [Refereed]
Bit Length Optimization of Fractional Part on Floating to Fixed Point Conversion for High Level Synthesis
N. Doi, T. Horiyama, N. Nakanishi, S. Kimura, K. Watanabe
IEICE Trans. Fundamentals Vol. E86-A ( No. 12 ) 3176 - 3183 2003.12
Bit Length Optimization in High Level Synthesis Based on Analytical Methods (Invited Talk)
Shinji Kimura, Nobuhiro Doi
System on Chip Design Automation Conference 2003 at Korea 2003.11
Bit Length Optimization of Fractional Parts on Floating to Fixed Point Conversion fro High-Level Synthesis
Nobuhiro Doi, Takashi Horiyama, Masaki Nakanishi, Shinji Kimura, Katsumasa Watanabe
Proc. of the Workshop on Synthesis and System Integration of Mixed Information technologies 129 - 136 2003.04
An on-chip high speed serial communication method based on independent ring oscillators
S Kimura, T Hayakawa, T Horiyama, M Nakanishi, K Watanabe
2003 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE: DIGEST OF TECHNICAL PAPERS 46 ( 22.3 ) 390 - 391 2003 [Refereed]
Look up table compaction based on folding of logic functions
S Kimura, A Ishii, T Horiyama, M Nakanishi, H Kajihara, K Watanabe
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E85A ( 12 ) 2701 - 2707 2002.12 [Refereed]
Folding of logic functions and its application to look up table compaction
S Kimura, T Horiyama, M Nakanishi, H Kajihara
IEEE/ACM INTERNATIONAL CONFERENCE ON CAD-02, DIGEST OF TECHNICAL PAPERS 694 - 697 2002 [Refereed]
A Real-Time User-Independent Eye Tracking LSI with Environment Adaptability
K. Nakamura, M. Nakanishi, T. Horiyama, M. Suzuki, S. Kimura, K. Watanabe
In Proc. of the 10th Workshop on Synthesis And System Integration of Mixed Technologies (SASIMI 2001) 357 - 361 2001.10
A New Symbolic Image Computation Algorithm Based on BDD Constrain Operator
S. Kimura, D. Dill, S. G. Govindaraju
In Proc. of the 10th Workshop on Synthesis And System Integration of Mixed Technologies (SASIMI 2001) 167 - 171 2001.10
Speech recognition chip for monosyllables
K Nakamura, Q Zhu, S Maruoka, T Horiyama, S Kimura, K Watanabe
PROCEEDINGS OF THE ASP-DAC 2001: ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2001 396 - 399 2001 [Refereed]
A real-time 64-monosyllable recognition LSI with learning mechanism
K Nakamura, Q Zhu, S Maruoka, T Horiyama, S Kimura, K Watanabe
PROCEEDINGS OF THE ASP-DAC 2001: ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2001 31 - 32 2001 [Refereed]
Multi-cycle path detection based on propositional satisfiability with CNF simplification using adaptive variable insertion
K Nakamura, S Maruoka, S Kimura, K Watanabe
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E83A ( 12 ) 2600 - 2607 2000.12 [Refereed]
Robust heuristics for multi-level logic simplification considering local circuit structure
Q Zhu, Y Matsunaga, S Kimura, K Watanabe
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E83A ( 12 ) 2520 - 2527 2000.12 [Refereed]
Robust Heuristics for Multi-Level Logic Simplification Considering Local Circuit Structure
Q. Zhu, Y. Matsunaga, S. Kimura, K. Watanabe
In Proc. of the 9th Workshop on Synthesis And System Integration of Mixed Technologies (SASIMI 2000) 299 - 306 2000.04
Exact minimization of free BDDs and its application to pass-transistor logic optimization
K Takagi, H Hatakeda, S Kimura, K Watanabe
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E82A ( 11 ) 2407 - 2413 1999.11 [Refereed]
Hardware synthesis from C programs with estimation of bit length of variables
O Ogawa, K Takagi, Y Itoh, S Kimura, K Watanabe
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E82A ( 11 ) 2338 - 2346 1999.11 [Refereed]
Multi-Level Logic Simplification using Statisfiability Don't Cares
Q.Zhu, Y.Matsunaga, S.Kimura, K.Watanabe
Proceedings of Asia Pacific Conference on cHip Design Languages 127 - 131 1999.10
Timing verification of sequential logic circuits based on controlled multi-clock path analysis
K Nakamura, S Kimura, K Takagi, K Watanabe
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E81A ( 12 ) 2515 - 2520 1998.12
システムLSI設計工学
藤田昌宏, 梶原誠司, 木村晋二, 高田宏章, 浜口清治, 冨山宏之
オーム社 2006.10 ISBN: 4274202976
再構成アクセラレータのための近似最適化手法
日本学術振興会 科学研究費助成事業
Project Year :
木村 晋二, 戸川 望, 孫 鶴鳴
攻撃に耐性を持つ機械学習モデルによる設計工程ハードウェアトロイ検知
日本学術振興会 科学研究費助成事業
Project Year :
戸川 望, 木村 晋二
サテライトコンピューティングシステムの信頼性と高性能化
日本学術振興会 科学研究費助成事業
Project Year :
木村 晋二, MEYER MICHAEL
Hardware-Trojan Detection for Integrated Circuit Design Data based on Machine Learning
Japan Society for the Promotion of Science Grants-in-Aid for Scientific Research
Project Year :
Togawa Nozomu
再構成アクセラレータにおけるデータ形式最適化と精度保証
Project Year :
大域的超低エネルギー化を実現するLSI抽象モデルと上位下位統合化LSI設計技術
科学研究費助成事業(早稲田大学) 科学研究費助成事業(基盤研究(B))
Project Year :
Abstract LSI Model and Its Associated High-Level Synthesis Algorithm for Deep Submicron Technologies
Japan Society for the Promotion of Science Grants-in-Aid for Scientific Research
Project Year :
TOGAWA Nozomu, KIMURA Shinji
Research on design and implementation of Ultra Large scale LSI
Japan Society for the Promotion of Science Grants-in-Aid for Scientific Research
Project Year :
GOTO Satoshi, TAKESHI Yoshimura, SHINJI Kimura
High-level Hardware Verification Based on Equivalence Logic with Similarities
Japan Society for the Promotion of Science Grants-in-Aid for Scientific Research
Project Year :
KIMURA Shinji
Hardware Verification with respect to Program Specification
Japan Society for the Promotion of Science Grants-in-Aid for Scientific Research
Project Year :
KIMURA Shinji
高性能プロセッサの設計技術に関する研究
Project Year :
フレキシブルIPの形式的検証技術の研究
Project Year :
IPベースシステムLSI設計技術の研究
Project Year :
Implementation of Adaptable Hardware and Software for Changing Environment
Japan Society for the Promotion of Science Grants-in-Aid for Scientific Research
Project Year :
WATANABE Katsumasa, HORIYAMA Takashi, TAKAGI Kazuyosi, KIMURA Shinji, NAKANISHI Masaki
Research on Reconfigurable General Purpose Co-processor Systems and Their Optimized Hardware/Software Codesign Compiler
Japan Society for the Promotion of Science Grants-in-Aid for Scientific Research
Project Year :
WATANABE Katsumasa, TAKAGI Kazuyoshi, KUNISHIMA Takeo, KIMURA Shinji
二分決定グラフを用いた論理回路の自動合成に関する研究
日本学術振興会 科学研究費助成事業
Project Year :
木村 晋二
Studies on Digital-Controller Configuration Design and Its Synchronization Control Using Multiple Digital Signal Processors.
Japan Society for the Promotion of Science Grants-in-Aid for Scientific Research
Project Year :
HANEDA Hiromasa, KIMURA Shinji, OHTA Yuzo
二分決定グラフの並列構成アルゴリズムおよびその設計検証への応用に関する研究
日本学術振興会 科学研究費助成事業
Project Year :
木村 晋二
OPERATION ON SETS AND IT'S APPLICATIONS TO COMPUTER AIDED DESIGN OF ROBUST CONTROL SYSTEMS
Japan Society for the Promotion of Science Grants-in-Aid for Scientific Research
Project Year :
OHTA Yuzo, KIMURA Shinji, HANEDA Hiromasa
Studies on Computer-Aided Design of Microprocessor Controlled Precise AC Servo Systems.
Japan Society for the Promotion of Science Grants-in-Aid for Scientific Research
Project Year :
HANEDA Hiromasa, KIMURA Shinji
コンテンフに適応する発展的ソフトウェアの構成法
順序機械の設計検証のための暗黙状態数え上げの並列化に関する研究
超並列アルゴリズム設計のためのデータ構造と計算モデルに関する研究
パイプライン処理の形式的並列設計検証手法に関する研究
論理回路の縮約モデルの自動抽出とそれを用いた大規模論理回路の設計検証に関する研究
論理回路の合成手法および最適化手法の高速化に関する研究
コンテンツに適応する発展的ソフトウェアの構成法
Implementation and Optimization of Parallel Prefix Adders Using Majority Function
117 ( 274 ) 109 - 114 2017.11
Implementation and Optimization of Parallel Prefix Adders Using Majority Function
117 ( 273 ) 109 - 114 2017.11
High Accuracy 8×8 Approximate Multiplier based on OR Operation (VLSI設計技術)
GUO Yi, SUN Heming, JIN Canran, KIMURA Shinji
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 116 ( 478 ) 19 - 24 2017.03
HAN Xushen, ZHOU Dajiang, KIMURA Shinji
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 116 ( 21 ) 47 - 52 2016.05
Write-Reduction using Encoding data on MLC for Non-Volatile Memories
115 ( 398 ) 221 - 225 2016.01
A Circuit Area-Aware Bit-Write Reduction Code Generation for Non-Volatile Memories
115 ( 338 ) 249 - 253 2015.12
Small-Sized Encoder/Decoder Circuit Design for Bit-Write Reduction Targeting Non-Volatile Memories
2014 ( 35 ) 1 - 6 2014.11
Write Reduction of Internal Registers for Non-volatile RISC Processors
GOTO Tomoya, YANAGISAWA Masao, KIMURA Shinji
Mathematical Systems Science and its Applications : IEICE technical report 114 ( 125 ) 213 - 218 2014.07
Energy Evaluation of Writing Reduction Method for Non-Volatile Memory
2013 ( 26 ) 1 - 6 2013.11
Write Reduction for Non-volatile Registers Using the Max-flow Min-cut Theorem
2012 ( 19 ) 1 - 6 2012.10
Write Control Method Based on State Transition for Magnetic Flip-Flop
OKADA Naoya, NAKAMURA Yuichi, KIMURA Shinji
Technical report of IEICE. VLD 112 ( 71 ) 13 - 18 2012.05
A-3-10 A Control Circuit Based on Analysis of State Transition
Okada Naoya, Nakamura Yuichi, Kimura Shinji
Proceedings of the IEICE General Conference 2012 94 - 94 2012.03
A-3-8 Memory-based Arithmetic Circuits on FPGA and Their Power Evaluation
Yu Xinmu, Hamaguchi Kiyoharu, Kimura Shinji
Proceedings of the IEICE General Conference 2012 92 - 92 2012.03
ILP-based Multi-Operand Adder Synthesis on FPGAs using Generalized Parallel Counters
MATSUNAGA TAEKO, KIMURA SHINJI, MATSUNAGA YUSUKE
IEICE technical report 111 ( 40 ) 39 - 44 2011.05
Multi-Stage Power Gating Based on Controlling Values of Logic Gates
JIN Yu, KIMURA Shinji
IEICE technical report 111 ( 40 ) 33 - 38 2011.05
Write Optimization for High-speed Non-volatile Memory Using Next State Function
OKADA Naoya, NAKAMURA Yuichi, KIMURA Shinji
IEICE technical report 110 ( 432 ) 165 - 170 2011.02
Low power synthesis of multi-operand adders using carry-chain structures on FPGAs
IEICE technical report 110 ( 361 ) 93 - 98 2011.01
Low power synthesis of multi-operand adders using carry-chain structures on FPGAs
IEICE technical report 110 ( 362 ) 93 - 98 2011.01
Low power synthesis of multi-operand adders using carry-chain structures on FPGAs
IEICE technical report 110 ( 360 ) 93 - 98 2011.01
Low power synthesis of multi-operand adders using carry-chain structures on FPGAs
2011 ( 16 ) 1 - 6 2011.01
Sharing of Clock Gating Modules under Multi-Stage Clock Gating Control
MAN Xin, HORIYAMA Takashi, KIMURA Tomoo, KAI Koji, KIMURA Shinji
IEICE technical report 110 ( 316 ) 185 - 190 2010.11
GOTO Satoshi, IKENAGA Takeshi, YOSHIMURA Takeshi, KIMURA Shinji, TOGAWA Nozomu
IPSJ Magazine 51 ( 7 ) 837 - 845 2010.07
FPGA-Based Prototyping Acceleration Using Automatic Pipeline Synthesis
ZHENG Kai, XING Weijie, KIMURA Tomoo, KAI Koji, KUROMARU Shun-ichi, KIMURA Shinji
2009 ( 4 ) 1 - 6 2009.05
A New Heuristic for Autonomic Controlling Value Based Power Gating
CHEN LEI, KIMURA SHINJI
2009 ( 5 ) 1 - 6 2009.05
松永 多苗子, 木村 晋二, 松永 裕介
電子情報通信学会技術研究報告. IE, 画像工学 108 ( 229 ) 59 - 63 2008.09
Fine-Grained Power Gating Based on the Controlling Value of Logic Gates
CHEN Lei, HORIYAMA Takashi, NAKAMURA Yuichi, KIMURA Shinji
IEICE technical report 108 ( 23 ) 19 - 24 2008.05
Checker Circuit Generation for SystemVerilog Assertions in Prototyping Verification
WANG Mengru, KIMURA Shinji
IEICE technical report 108 ( 22 ) 7 - 12 2008.05
improvement of switching activity aware algorithm for prefix graph synthesis
MATSUNAGA Taeko, KIMURA Shinji, MATSUNAGA Yusuke
IEICE technical report 108 ( 22 ) 31 - 36 2008.05
improvement of switching activity aware algorithm for prefix graph synthesis
MATSUNAGA Taeko, KIMURA Shinji, MATSUNAGA Yusuke
2008 ( 38 ) 31 - 36 2008.05
Fine-Grained Power Gating Based on the Controlling Value of Logic Gates
CHEN Lei, HORIYAMA Takashi, NAKAMURA Yuichi, KIMURA Shinji
2008 ( 38 ) 55 - 60 2008.05
Synthesis of parallel prefix adders based on Ling's carry computation
MATSUNAGA Taeko, KIMURA Shinji, MATSUNAGA Yusuke
2007 ( 114 ) 163 - 168 2007.11
Synthesis of parallel prefix adders based on Ling's carry computation
MATSUNAGA Taeko, KIMURA Shinji, MATSUNAGA Yusuke
IEICE technical report 107 ( 336 ) 49 - 54 2007.11
Acceleration of Prototyping Design Verification Using Circuit Modification
INOUE Keita, WEIJIE Xing, KIMURA Shinji
2007 ( 27 ) 113 - 118 2007.03
Bit-Length Optimization Method for High-Level Synthesis Based on Non-linear Programming Technique
DOI Nobuhiro, HORIYAMA Takashi, NAKANISHI Masaki, KIMURA Shinji
IEICE Trans. Fundamentals, A 89 ( 12 ) 3427 - 3434 2006.12
Software Defined Radio with Reconfigurable Processor Based on ALU Array Architecture
OZONE Makoto, HIRASE Katsunori, IIZUKA Kazuhisa, NAKAJIMA Hiroshi, HIRAMATSU Tatsuo, KIMURA Shinji
IEICE technical report 106 ( 188 ) 173 - 178 2006.07
Dynamic Recongurable Wiring Architecture and lts Application to Hardware Mapping
KIMURA Shinji
2006 ( 41 ) 7 - 12 2006.05
Dynamic Recon gurable Wiring Architecture and Its Application to Hardware Mapping
KIMURA Shinji
IEICE technical report 106 ( 31 ) 7 - 12 2006.05
AKUTSU Hidemi, KIMURA Shinji
IEICE technical report 105 ( 647 ) 43 - 48 2006.03
Conversion Method from High-level Hardware Description to Equivalence Logic Formulae
JUNG Kwanghoon, KIMURA Shinji
IEICE technical report 105 ( 646 ) 79 - 84 2006.03
Structural Coverage of Traversed Transitions for Symbolic Model Checking
XU Xingwen, KIMURA Shinji, HORIKAWA Kazunari, TSUCHIYA Takehiko
2005 ( 121 ) 197 - 202 2005.11
DOI Nobuhiro, HORIYAMA Takashi, NAKANISHI Masaki, KIMURA Shinji
2005 ( 27 ) 133 - 138 2005.03
DOI Nobuhiro, HORIYAMA Takashi, NAKANISHI Masaki, KIMURA Shinji
IEICE technical report. Computer systems 104 ( 738 ) 43 - 48 2005.03
A Reconfigurable Processor Based on ALU Array Architecture with Limitation on the Interconnection
OKADA Makoto, HIRAMATSU Tatsuo, NAKAJIMA Hiroshi, OZONE Makoto, HIRASE Katsunori, KIMURA Shinji
IEICE technical report. Computer systems 104 ( 591 ) 1 - 6 2005.01
A Selective Scan Chain Reconfiguration through Run-Length Coding for Test Data Compression and Scan Power Reduction
SHI Youhua, KIMURA Shinji, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE transactions on fundamentals of electronics, communications and computer sciences 87 ( 12 ) 3208 - 3215 2004.12
A Hybrid Dictionary Test Data Compression for Multiscan-Based Designs
SHI Youhua, KIMURA Shinji, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE transactions on fundamentals of electronics, communications and computer sciences 87 ( 12 ) 3193 - 3199 2004.12
Program Analysis Based on Abstruct Interpretation and Its Application for Datapath Optimization
DOI Nobuhiro, HORIYAMA Takashi, NAKANISHI Masaki, KIMURA Shinji
2004 ( 56 ) 41 - 46 2004.05
Program Analysis Based on Abstruct Interpretation and Its Application for Datapath Optimization
DOI Nobuhiro, HORIYAMA Takashi, NAKANISHI Masaki, KIMURA Shinji
Technical report of IEICE. VLD 104 ( 79 ) 7 - 12 2004.05
Reconfigurable Interconnection and Its Application to the Bit - Exchange Unit in a Processor
HARADA Yasunori, KIMURA Shinji, YANAGISAWA Masao
2004 ( 5 ) 1 - 6 2004.01
Reconfigurable Interconnection and Its Application to the Bit-Exchange Unit in a Processor
HARADA Yasunori, KIMURA Shinji, YANAGISAWA Masao
Technical report of IEICE. VLD 103 ( 578 ) 1 - 6 2004.01
A Built-in Reseeding Technique for LFSR-Based Test Pattern Generation
SHI Youhua, ZHANG Zhe, KIMURA Shinji, YANAGISAWA Masao, OHTSUKI Tatsuo
IEICE transactions on fundamentals of electronics, communications and computer sciences, A 86 ( 12 ) 3056 - 3062 2003.12
Area Efficient FPGA Architecture with Logic Function Folding
KAJIHARA Hirotsugu, NAKANISHI Masaki, HORIYAMA Takashi, KIMURA Shinji, WATANABE Katsumasa
2003 ( 7 ) 37 - 42 2003.01
Area Efficient FPGA Architecture with Logic Function Folding
KAJIHARA Hirotsugu, NAKANISHI Masaki, HORIYAMA Takashi, KIMURA Shinji, WATANABE Katsumasa
Technical report of IEICE. VLD 102 ( 608 ) 37 - 42 2003.01
SUZUKI MASATO, KIMURA SHINJI, WATANABE KATSUMASA
IEICE technical report. Computer systems 101 ( 671 ) 33 - 40 2002.02
A New Image Computation Method Based on Generalized Cofactor of Binary Decision Diagrams
KIMURA Shinji, DILL David, GOVINDARAJU Shankar
Technical report of IEICE. VLD 101 ( 467 ) 73 - 78 2001.11
Design and Implementation of LSI for Speech Recognition with Learning Mechanism Using C Language
NAKAMURA Kazuhiro, ZHU Qiang, MARUOKA Shinji, HORIYAMA Takashi, KIMURA Shinji, WATANABE Katsumasa
Technical report of IEICE. VLD 100 ( 473 ) 125 - 130 2000.11
16-bit Pipelined Processor with CORDIC Unit based on Redundant Binary Representation
OTSUJI Takashi, HORIYAMA Takashi, KIMURA Shinji, WATANABE Katsumasa
Proceedings of the Society Conference of IEICE 2000 78 - 78 2000.09
Design Verification of Arithmetic Circuits Using Residue BDD's
KIMURA Shinji
Technical report of IEICE. VLD 95 ( 171 ) 1 - 8 1995.07
Parallel Binary Decision diagram Manipulation
KIMURA Shinji
IPSJ Magazine 34 ( 5 ) 624 - 630 1993.05
Master's Thesis (Department of Electronic and Physical Systems)
Graduate School of Fundamental Science and Engineering
2024 full year
Seminar on High-level Verification Technologies B
Graduate School of Fundamental Science and Engineering
2024 fall semester
Seminar on High-level Verification Technologies A
Graduate School of Fundamental Science and Engineering
2024 spring semester
Seminar on High-level Verification Technologies D
Graduate School of Fundamental Science and Engineering
2024 fall semester
Seminar on High-level Verification Technologies C
Graduate School of Fundamental Science and Engineering
2024 spring semester
Research on High-level Verification Technologies
Graduate School of Fundamental Science and Engineering
2024 full year
Research on High-level Verification Technologies
Graduate School of Fundamental Science and Engineering
2024 full year
Topics in Fundamental Science and Engineering C
School of Fundamental Science and Engineering
2024 spring semester
Introduction to Electronic and physical systems [S Grade]
School of Fundamental Science and Engineering
2024 spring semester
Topics in Fundamental Science and Engineering C
School of Fundamental Science and Engineering
2024 spring semester
Introduction to Electronic and physical systems
School of Fundamental Science and Engineering
2024 spring semester
Introduction to Electronic and Physical Systems [S Grade]
School of Fundamental Science and Engineering
2024 an intensive course(spring)
Topics in Fundamental Science and Engineering C
School of Fundamental Science and Engineering
2024 spring semester
Introduction to Electronic and Physical Systems
School of Fundamental Science and Engineering
2024 an intensive course(spring)
Topics in Fundamental Science and Engineering C
School of Fundamental Science and Engineering
2024 spring semester
Topics in Fundamental Science and Engineering C
School of Fundamental Science and Engineering
2024 spring semester
Master's Thesis (Integrated Systems)(Fall)
Graduate School of Information, Production and Systems
2024 fall semester
Master's Thesis (Integrated Systems)(Spring)
Graduate School of Information, Production and Systems
2024 spring semester
High-Level Verification Technologies Research (Doctor's Thesis)
Graduate School of Information, Production and Systems
2024 full year
High-Level Verification Technologies Research (Fall)
Graduate School of Information, Production and Systems
2024 fall semester
High-Level Verification Technologies A
Graduate School of Information, Production and Systems
2024 fall semester
High-Level Verification Technologies
Graduate School of Information, Production and Systems
2024 fall semester
High-Level Verification Technologies Research (Spring)
Graduate School of Information, Production and Systems
2024 spring semester
High-Level Verification Technologies D
Graduate School of Information, Production and Systems
2024 fall semester
High-Level Verification Technologies C
Graduate School of Information, Production and Systems
2024 spring semester
High-Level Verification Technologies B
Graduate School of Information, Production and Systems
2024 spring semester
High-Level Verification Technologies Research (Spring)
Graduate School of Information, Production and Systems
2024 spring semester
High-Level Verification Technologies Research (Fall)
Graduate School of Information, Production and Systems
2024 fall semester
Faculty of Science and Engineering Graduate School of Fundamental Science and Engineering
Faculty of Science and Engineering School of Fundamental Science and Engineering
Waseda Research Institute for Science and Engineering Concurrent Researcher
システムオンシリコンのためのランタイム解析・最適化手法の研究
2011 戸川望
Click to view the Scopus page. The data was downloaded from Scopus API in December 20, 2024, via http://api.elsevier.com and http://www.scopus.com .