研究キーワード
-
最適化技術、VLSI設計自動化
受賞
-
IEEE APCCAS2006 Best Paper Award
2006年12月
-
電子情報通信学会フェロー
2004年09月
-
IEEE Circuits and Systems CAD Transactions Best Paper Award
2002年06月
-
電子情報通信学会論文賞
1988年
論文
-
A Unified Scheduling Approach for Power and Resource Optimization With Multiple V-dd or/and V-th in High-Level Synthesis
Cong Hao, Nan Wang, Takeshi Yoshimura
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS 36 ( 12 ) 2030 - 2043 2017年12月
-
Framework and VLSI architecture of measurement-domain intra prediction for compressively sensed visual contents
Jianbin Zhou, Dajiang Zhou, Li Guo, Takeshi Yoshimura, Satoshi Goto
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E100A ( 12 ) 2869 - 2877 2017年12月
-
Application of on-line machine learning in optimization algorithms: A case study for local search
Cong Hao, Takeshi Yoshimura
2017 9th Computer Science and Electronic Engineering Conference, CEEC 2017 - Proceedings 19 - 24 2017年11月
-
A particle swarm optimization and branch and bound based algorithm for economical smart home scheduling
Yangyizhou Wang, Cong Hao, Takeshi Yoshimura
Midwest Symposium on Circuits and Systems 2017- 213 - 216 2017年09月
-
Energy-efficient scheduling method with cross-loop model for resource-limited CNN accelerator designs
Kaiyi Yang, Shihao Wang, Jianbin Zhou, Takeshi Yoshimura
Proceedings - IEEE International Symposium on Circuits and Systems 2017年09月
-
Thermal-Aware floorplanning for noc-sprinting
Zhu, Hui, Zhu, Hui, Hao, Cong, Yoshimura, Takeshi
Midwest Symposium on Circuits and Systems 2017年03月
-
Interconnection Allocation Between Functional Units and Registers in High-Level Synthesis
Cong Hao, Jianmo Ni, Nan Wang, Takeshi Yoshimura
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 25 ( 3 ) 1140 - 1153 2017年03月
-
An efficient multi-level algorithm for 3D-IC TSV assignment
Cong Hao, Takeshi Yoshimura
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E100A ( 3 ) 776 - 784 2017年03月
-
Economical smart home scheduling for single and multiple users
Hao, Cong, Yoshimura, Takeshi
Midwest Symposium on Circuits and Systems 2017年03月
-
Real-time UHD background modelling with mixed selection block updates
Axel Beaugendre, Satoshi Goto, Takeshi Yoshimura
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E100A ( 2 ) 581 - 591 2017年02月
-
A Dual-Clock VLSI Design of H.265 Sample Adaptive Offset Estimation for 8k Ultra- HD TV Encoding
Jianbin Zhou, Dajiang Zhou, Shihao Wang, Shuping Zhang, Takeshi Yoshimura, Satoshi Goto
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 25 ( 2 ) 714 - 724 2017年02月
-
VLSI Implementation of HEVC Motion Compensation With Distance Biased Direct Cache Mapping for 8K UHDTV Applications
Shihao Wang, Dajiang Zhou, Jianbin Zhou, Takeshi Yoshimura, Satoshi Goto
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY 27 ( 2 ) 380 - 393 2017年02月
-
An 8K H.265/HEVC Video Decoder Chip With a New System Pipeline Design
Dajiang Zhou, Shihao Wang, Heming Sun, Jianbin Zhou, Jiayi Zhu, Yijin Zhao, Jinjia Zhou, Shuping Zhang, Shinji Kimura, Takeshi Yoshimura, Satoshi Goto
IEEE JOURNAL OF SOLID-STATE CIRCUITS 52 ( 1 ) 113 - 126 2017年01月
-
Chain-NN: An Energy-Efficient 1D Chain Architecture for Accelerating Deep Convolutional Neural Networks
Shihao Wang, Dajiang Zhou, Xushen Han, Takeshi Yoshimura
PROCEEDINGS OF THE 2017 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE) 1032 - 1037 2017年
-
An efficient algorithm for 3D-IC TSV assignment
Hao, Cong, Ding, Nan, Yoshimura, Takeshi
14th IEEE International NEWCAS Conference, NEWCAS 2016 2016年10月
-
Leakage-Power-Aware Scheduling With Dual-Threshold Voltage Design
Nan Wang, Wei Zhong, Cong Hao, Song Chen, Takeshi Yoshimura, Yu Zhu
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 24 ( 10 ) 3067 - 3079 2016年10月
-
Primal-dual method based simultaneous functional unit and register binding
Ni, Jianmo, Hao, Cong, Wang, Nan, Ai, Qian, Yoshimura, Takeshi
Proceedings - 2015 IEEE 11th International Conference on ASIC, ASICON 2015 2016年07月
-
Simultaneous scheduling and binding for resource usage and interconnect complexity reduction in high-level synthesis
Hao, Cong, Ni, Jian Mo, Wang, Hui Tong, Yoshimura, Takeshi
Proceedings - 2015 IEEE 11th International Conference on ASIC, ASICON 2015 2016年07月
-
14.7 A 4Gpixel/s 8/10b H.265/HEVC video decoder chip for 8K Ultra HD applications
Zhou, Dajiang, Wang, Shihao, Sun, Heming, Zhou, Jianbin, Zhu, Jiayi, Zhao, Yijin, Zhou, Jinjia, Zhang, Shuping, Kimura, Shinji, Yoshimura, Takeshi, Goto, Satoshi
Digest of Technical Papers - IEEE International Solid-State Circuits Conference 59 266 - 268 2016年02月
-
Power-efficient Partitioning and Cluster Generation Design for Application-Specific Network-on-Chip
Jiayi Ma, Cong Hao, Wencan Zhang, Takeshi Yoshimura
2016 INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC) 83 - 84 2016年
-
Unified parameter decoder architecture for H.265/HEVC motion vector and boundary strength decoding
Shihao Wang, Dajiang Zhou, Jianbin Zhou, Takeshi Yoshimura, Satoshi Goto
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E98A ( 7 ) 1356 - 1365 2015年07月
-
Unified Parameter Decoder Architecture for H.265/HEVC Motion Vector and Boundary Strength Decoding
Shihao Wang, Dajiang Zhou, Jianbin Zhou, Takeshi Yoshimura, Satoshi Goto
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E98A ( 7 ) 1356 - 1365 2015年07月
-
High Performance VLSI Architecture of H.265/HEVC Intra Prediction for 8K UHDTV Video Decoder
ZHOU Jianbin, ZHOU Dajiang, WANG Shihao, YOSHIMURA Takeshi, GOTO Satoshi
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences 98 ( 12 ) 2519 - 2527 2015年
-
Mobility Overlap-Removal-Based Leakage Power and Register-Aware Scheduling in High-Level Synthesis
Nan Wang, Song Chen, Wei Zhong, Nan Liu, Takeshi Yoshimura
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E97A ( 8 ) 1709 - 1719 2014年08月
-
Leakage Power Aware Scheduling in High-Level Synthesis
Nan Wang, Song Chen, Cong Hao, Haoran Zhang, Takeshi Yoshimura
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E97A ( 4 ) 940 - 951 2014年04月
-
Leakage Power Aware Scheduling in High-Level Synthesis
Nan Wang, Song Chen, Cong Hao, Haoran Zhang, Takeshi Yoshimura
IEICE Trans. Fundamentals. Vol.E97-A ( No.4 ) 940 - 951 2014年04月
-
Genetic Algorithm Based Pipeline Scheduling in High-level Synthesis
Xiaohao Gao, T. Yoshimura
Proc. ASICON 2013 1 - 4 2013年10月
-
Timing and Resource Constrained Leakage Power Aware Scheduling in High-Level Synthesis
Nan Wang, Song Chen, T. Yoshimura
Proc. ASICON 2013 1 - 4 2013年10月
-
Power and Resource Aware Scheduling with Multiple Voltages
Haoran Zhang, Cong Hao, Nan Wang, Song Chen, Takeshi Yoshimura
Proc. ASICON 2013 1 - 4 2013年10月
-
Interconnection Allocation Between Functional Units And Registers in High-Level Synthesis
Cong Hao, Nan Wang, Song Chen, Takeshi Yoshimura, Min-You Wu
Proc ASICN 2013 1 - 4 2013年10月
-
Mobility Overlap-Removal Based Leakage Power Aware Scheduling in High-Level Synthesis
Nan Wang, Song Chen, Takeshi Yoshimura
Proc. ISCAS2013 1745 - 1748 2013年05月
-
Topology-Aware Floorplanning for 3D Application-Specific Network-on-Chip Synthesis
Bo Huang, Song Chen, Wei Zhong, Takeshi Yoshimura
Proc. ISCAS2013 1732 - 1735 2013年05月
-
Resource-Aware Multi-Layer Floorplanning for Partially Reconfigurable FPGAs
Nan Liu, Song Chen, Takeshi Yoshimura
IEICE TRANSACTIONS ON ELECTRONICS E96C ( 4 ) 501 - 510 2013年04月
-
Resource-Aware Multi-Layer Floorplanning for Partially Reconfigurable FPGAs
Nan Liu, Song Chen, Takeshi Yoshimura
IEICE Trans. on Electronics Vol.E96-C ( No.4 ) 510 - 510 2013年04月
-
Min-Cut Based Leakage Power Aware Scheduling in High-Level Synthesis
Nan Wang, Song Chen, Takeshi Yoshimura
Proc. ISQED 2013 2013年03月
-
Genetic Algorithm based pipeline scheduling in high-level synthesis
Gao, Xiaohao, Yoshimura, Takeshi
Proceedings of International Conference on ASIC 2013年01月
-
Network Simplex Method Based Multiple Voltage Scheduling in Power-Efficient High-Level Synthesis
Cong Hao, Song Chen, Takeshi Yoshimura
2013 18TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC) 237 - 242 2013年
-
A Novel Floorplan Representation with Random Contour Corner Selecting Scheme
Xiaohao Gao, Takeshi Yoshimura
2013 IEEE TENCON SPRING CONFERENCE (Accepted) 552 - 556 2013年
-
Network Simplex Method Based Multiple Voltage Scheduling in Power-Efficient High-Level Synthesis
Cong Hao, Song Chen, Takeshi Yoshimura
2013 18TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC) 237 - 242 2013年
-
Floorplanning and topology synthesis for application-specific network-on-chips
Wei Zhong, Song Chen, Bo Huang, Takeshi Yoshimura, Satoshi Goto
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E96-A ( 6 ) 1174 - 1184 2013年
-
Min-cut based leakage power aware scheduling in high-level synthesis
Nan Wang, Song Chen, Takeshi Yoshimura
Proceedings - International Symposium on Quality Electronic Design, ISQED 164 - 169 2013年
-
Port assignment for multiplexer and interconnection optimization
Cong Hao, Hao-Ran Zhang, Song Chen, Takeshi Yoshimura, Min-You Wu
Proceedings of the 5th Asia Symposium on Quality Electronic Design, ASQED 2013 136 - 143 2013年
-
A Novel Floorplan Representation with Random Contour Corner Selecting Scheme
Xiaohao Gao, Takeshi Yoshimura
2013 IEEE TENCON SPRING CONFERENCE 552 - 556 2013年
-
Topology-aware floorplanning for 3D application-specific Network-on-Chip synthesis
Bo Huang, Song Chen, Wei Zhong, Takeshi Yoshimura
Proceedings - IEEE International Symposium on Circuits and Systems 1732 - 1735 2013年
-
Mobility overlap-removal based leakage power aware scheduling in high-level synthesis
Nan Wang, Song Chen, Yuhuan Sun, Takeshi Yoshimura
Proceedings - IEEE International Symposium on Circuits and Systems 1745 - 1748 2013年
-
Lagrangian relaxation based pin assignment and Through-Silicon Via planning for 3-D SoCs
Wei Zhong, Song Chen, Yang Geng, Takeshi Yoshimura
Proceedings of International Conference on ASIC 1 - 4 2013年
-
Application-specific network-on-chip synthesis with topology-aware floorplanning
Huang, Bo, Chen, Song, Zhong, Wei, Yoshimura, Takeshi
Proceedings - SBCCI 2012: 25th Symposium on Integrated Circuits and Systems Design 2012年12月
-
Floorplanning for High Utilization of Heterogeneous FPGAs
Nan Liu, Song Chen, Takeshi Yoshimura
IEICE Transactions on Fundamentals E95-A ( 9 ) 1529 - 1537 2012年09月
-
Applicationi Specific Network-on-Chip Synthesis with Topology-Aware Floorplanning
Bo Huang, S ong Chen, WeiZhong,T. Yoshimura
International Symposium on Integrated Circuits and Systems Design (SBCCI) 2012年08月
-
Integrating Routing Path Allocation with Network Component Placement for Application- Specific Network-on-Chips
Wei Zhong, Song Chen, Dan Su, Takeshi Yoshimura, S.Goto
Proc. ITC-CSCC 2012年07月
-
Cluster Generation and Network Component Insertion for Topology Synthesis of Application-Specific Network-on-Chips
Wei Zhong, Takeshi Yoshimura, Bei Yu, Song Chen, Sheqin Dong, Satoshi Goto
IEICE TRANSACTIONS ON ELECTRONICS E95C ( 4 ) 534 - 545 2012年04月
-
Port Assignment for Interconnect Reduction in High-Level Synthesis
Cong Hao, Song Chen, Takeshi Yoshimura
International Symposium on VLSI Design, Automation and Test (VLSI-DAT) 1 - 4 2012年04月
-
Cluster Generation and Network Component Insertion for Topology Synthesis of Application-Specific Network-on-Chips
Wei Zhong, T. Yoshimura, Bei Yu, Song Chen, Sheqin Dong, S. Goto
IEICE Transactions on Electronics E95-C ( 4 ) 535 - 545 2012年04月
-
Floorplanning for high utilization of heterogeneous FPGAs
Nan Liu, Song Chen, Takeshi Yoshimura
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences E95-A ( 9 ) 1529 - 1537 2012年
-
Practically scalable floorplanning with voltage island generation
Song Chen, Xiaolin Zhang, Takeshi Yoshimura
Proceedings of the International Symposium on Low Power Electronics and Design 27 - 32 2012年
-
Wirelength driven I/O buffer placement for Flip-chip with timing-constrained
Nan Liu, Shiyu Liu, Takeshi Yoshimura
2012 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS) 631 - 634 2012年
-
Wirelength driven I/O buffer placement for Flip-chip with timing-constrained
Nan Liu, Shiyu Liu, Takeshi Yoshimura
2012 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS) 631 - 634 2012年
-
A Low Power Technology Mapping Method for Adaptive Logic Module
Wei Chen, Yuichi Nakamura, Xiaolin Zhang, Takeshi Yoshimura
Proc. International Conference on Field-Programmable Technology, New Delhi, India 2011年12月
-
mobility Overlap-Removal Based Timing-Constrained Scheduling
Song Chen, Yuan Yao, Takeshi Yoshimura
Proc. IEEE International Conference on ASIC (ASICON), Xiamen, China 2011年10月
-
An Efficient Level-Shifter Floorplanning Method for Multi-Voltage Design
Xiaolin Zhang, Zhi Lin, Song Chen, Takeshi Yoshimura
Proc. IEEE International Conference on ASIC (ASICON), Xiamen, China 2011年10月
-
Application-Specfic Network-on-Chip Synthesis: Cluster Generation and Network Component Insertion
W. Zhong, B. Yu, S. Chen, T. Yoshimura, S. Dong, S. Goto
Proc.IEEE International Symposium on Quality Electronic Design (ISQED), Santa Clara, USA. 2011年03月
-
Floorplanning for high utilization of heterogeneous FPGAs
N. Liu, S. Chen, T. Yoshimura
Proc. IEEE International Symposium on Quality Electronic Design (ISQED), Santa Clara, USA 2011年03月
-
Floorplanning Driven Network-on-Chip Synthesis for 3-D SoCs
Wei Zhong, Song Chen, Fei Ma, Takeshi Yoshimura, Satoshi Goto
2011 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) 1203 - 1206 2011年
-
Application-Specific Network-on-Chip Synthesis: Cluster Generation and Network Component Insertion
Wei Zhong, Bei Yu, Song Chen, Takeshi Yoshimura, Sheqin Dong, Satoshi Goto
2011 12TH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED) 144 - 149 2011年
-
Floorplanning driven network-on-chip synthesis for 3-D SoCs
Wei Zhong, Song Chen, Fei Ma, Takeshi Yoshimura, Satoshi Goto
Proceedings - IEEE International Symposium on Circuits and Systems 1203 - 1206 2011年
-
Mobility overlap-removal based timing-constrained scheduling
Song Chen, Yuan Yao, Takeshi Yoshimura
Proceedings of International Conference on ASIC 417 - 420 2011年
-
Redundant via Insertion: Removing Design Rule Conflicts and Balancing via Density
Song Chen, Jianwei Shen, Wei Guo, Mei-Fang Chiang, Takeshi Yoshimura
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E93A ( 12 ) 2372 - 2379 2010年12月
-
Redundant Via Insertion: Removing Design Rule Conflicts and Balancing Via Density
S. Chen, Jianwei Shen, Wei Guo, Mei-fang Chiang, T. Yoshimura
IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences E93-A ( 12 ) 1 - 8 2010年12月
-
A dynamic programming based algorithm for post-scheduling frequency assignment in energy-efficient high-level synthesis
S. Chen, Y. Yao, T. Yoshimura
Proc. International Conference on Solid-State and Integrated-Circuit Technology (ICSICT) 794 - 706 2010年11月
-
Redundant via insertion based on conflict removal
J. Liang, S. Chen, T. Yoshimura
Proc. International Conference on Solid-State and Integrated-Circuit Technology (ICSICT) 797 - 799 2010年11月
-
Multi-layer floorplanning for stacked ICs: Configuration number and fixed-outline constraints
Song Chen, Takeshi Yoshimura
INTEGRATION-THE VLSI JOURNAL 43 ( 4 ) 378 - 388 2010年09月
-
Multi-layer floorplanning for stacked ICs: Configuration number and fixed-outline constraints
S. Chen, T. Yoshimura
Integration, the VLSI journal 43 ( 4 ) 1 - 11 2010年09月
-
-
Voltage-Island-Driven Floorplanning for Low-Power System-on-Chip Design
Xiaolin Zhang, Song Chen, Takeshi Yoshimura
火の国シンポジウム2010 2010年03月
-
Floorplanning for High Utilization of Heterogeneous FPGAs
Nan Liu, Song Chen, Takeshi Yoshimura
火の国シンポジウム2010 2010年03月
-
Whitespace Insertion for Through-Silicon Via Planning on 3-D SoCs
Wei Zhong, Song Chen, Takeshi Yoshimura
2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS 913 - 916 2010年
-
Whitespace Insertion for Through-Silicon Via Planning on 3-D SoCs
Wei Zhong, Song Chen, Takeshi Yoshimura
2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS 913 - 916 2010年
-
Redundant via insertion based on conflict removal
Jia Liang, Song Chen, Takeshi Yoshimura
ICSICT-2010 - 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, Proceedings 794 - 796 2010年
-
Post-Scheduling Frequency Assignment for Energy-Efficient High-Level Synthesis
Ru Liu, Song Chen, Takeshi Yoshimura
PROCEEDINGS OF THE 2010 IEEE ASIA PACIFIC CONFERENCE ON CIRCUIT AND SYSTEM (APCCAS) 588 - 591 2010年
-
A dynamic programming based algorithm for post-scheduling frequency assignment in energy-efficient high-level synthesis
Song Chen, Yuan Yao, Takeshi Yoshimura
ICSICT-2010 - 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, Proceedings 797 - 799 2010年
-
Post-Scheduling Frequency Assignment for Energy-Efficient High-Level Synthesis
Ru Liu, Song Chen, Takeshi Yoshimura
PROCEEDINGS OF THE 2010 IEEE ASIA PACIFIC CONFERENCE ON CIRCUIT AND SYSTEM (APCCAS) 588 - 591 2010年
-
Convex-Cost Flow Based redundant-Via Insertion with Density-Balance Consideration
Jianwei Shen, M. F. Chiang, Song Chen, Wei Guo, T. Yoshimura
Proc. IEEE International Conference on ASIC (ASICON 2009) 734 - 737 2009年10月
-
Register placement for high-performance circuits
M.-F. Chiang, T. Okamoto, T. Yoshimura
Proc. Design, Automation and Test in Europe (DATE), Nice, France 1470 - 1475 2009年04月
-
Lagrangian Relaxation Based Inter-Layer Signal Via Assignment for 3-D ICs
Song Chen, Liangwei Ge, Mei-Fang Chiang, Takeshi Yoshimura
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E92A ( 4 ) 1080 - 1087 2009年04月
-
Lagrangian relaxation based register placement for high-performance circuits
Mei-Fang Chiang, Takumi Okamoto, Takeshi Yoshimura
Proceedings of the 2009 10th International Symposium on Quality of Electronic Design 511 - 516 2009年03月
-
Exploration of schedule space by random walk
Liangwei Ge, Song Chen, Takeshi Yoshimura
IPSJ Transactions on System LSI Design Methodology 2 30 - 42 2009年
-
A Generalized V-shaped Multilevel Method for Large Scale Floorplanning
Song Chen, Zheng Xu, Takeshi Yoshimura
ISQED 2009: PROCEEDINGS 10TH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN, VOLS 1 AND 2 734 - 739 2009年
-
A Heuristic Method for Module Sizing Under Fixed-Outline Constraints
Xiaolin Zhang, Song Chen, Longfan Piao, Takeshi Yoshimura
2009 IEEE 8TH INTERNATIONAL CONFERENCE ON ASIC, VOLS 1 AND 2, PROCEEDINGS 738 - 741 2009年
-
Convex-cost Flow based Redundant-Via Insertion with Density-Balance Consideration
Wei Guo, Song Chen, Mei-Fang Chiang, Jian-Wei Shen, Takeshi Yoshimura
2009 IEEE 8TH INTERNATIONAL CONFERENCE ON ASIC, VOLS 1 AND 2, PROCEEDINGS 1280 - 1283 2009年
-
High-Speed, Pipelined Implementation of Squashing Functions in Neural Networks
Liangwei Ge, Song Chen, Takeshi Yoshimura
Proc. The 9th International Conference on Solid-State and Integrated-Circuit Technology (ICSICT 2008) 2008年10月
-
Automatic Implementation of Arithmetic Functions in High-Level Synthesis
Liangwei Ge, Song Chen, Takeshi Yoshimura
Proc. The 9th International Conference on Solid-State and Integrated-Circuit Technology (ICSICT 2008) 2008年10月
-
A synthesis method of general floating-point arithmetic units by aligned partition
Liangwei Ge, Song Chen, Yuichi Nakamura, Takeshi Yoshimura
IPSJ Transactions on System LSI Design Methodology 1 ( No.1 ) 67 - 77 2008年08月
-
A New Implementation of Multilevel Framework for Interconnect-Driven Floorplanning
Zheng Xu, Song Chen, Takeshi Yoshimura, Yong Fang
Proc. the 23rd International Technical Conference on Circuits/Systems, Computers and Communications(ITC-CSCC2008) 185 - 188 2008年07月
-
On Objective Functions for Fixed-Outline Floorplanning
Lu Wang, Xiaolin Zhang, Song Chen, Takeshi Yoshimura
Proc. the 23rd International Technical Conference on Circuits/Systems, Computers and Communications(ITC-CSCC2008) 569 - 572 2008年07月
-
A Synthesis Method of General Floating-Point Arithmetic Units by Aligned Partition
Liangwei Ge, Song Chen, Yuichi Nakamura, Takeshi Yoshimura
Proc. 23rd International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC2008) 1177 - 1180 2008年07月
-
Exploration of Schedule Space by Random Walk
Liangwei Ge, Song Chen, Takeshi Yoshimura
Proc. 23rd International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC2008) 1573 - 1576 2008年07月
-
Fixed-Outline Floorplanning: Block Position Enumeration and a New Method for Calculating Area Costs
Song Chen, Takeshi Yoshimura
IEEE Transactions on CAD Vol.27 ( No.5 ) 858 - 871 2008年05月
-
Lagrangian Relaxation Based Inter-Layer Signal Via Assignment for 3-D ICs
Song Chen, Liang-Wei Ge, Mei-Fang Chiang, Takeshi Yoshimura
Proc. 21st Circuits and Systems Karuizawa Workshop 581 - 586 2008年04月
-
Weighted Jumper Insertion for Antenna Fixing
Mei-Fang Chiang, Takeshi Yoshimura
Proc. 21st Circuits and Systems Karuizawa Workshop 575 - 580 2008年04月
-
Performance Maximized Interlayer Via Planning for 3D ICs
Jun Lu, Song Chen, Takeshi Yoshimura
Proceedings of ASICON2007 1196 - 1099 2007年10月
-
A Fixed-Outline Floorplanning Method
Takeshi Yosihmura, Song Chen
Proceedings of ASCON2007 1070 - 1075 2007年10月
-
Max-flow scheduling in high-level synthesis
Liangwei Ge, Song Chen, Kazutoshi Wakabayashi, Takashi Takenaka, Takeshi Yoshimura
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E90A ( 9 ) 1940 - 1948 2007年09月
-
Score Sequence Pair Problems of (r11, r12, r22)-Tournaments ~ Determination of Realizability
Masaya Takahashi, Takahiro Watanabe, Takeshi Yoshimura
IEICE Transactions on Information and Systems E90-D ( 2 ) 440 - 448 2007年02月
-
A Stable Fixed-Outline Floorplanning Method
Song Chen, Takeshi Yosihmura
ISPD'07: PROCEEDINGS OF THE 2007 INTERNATIONAL SYMPOSIUM ON PHYSICAL DESIGN 119 - 126 2007年
-
Construction of an (r(11), r(12), r(22))-tournament from a score sequence pair
Masaya Takahashi, Takahiro Watanabe, Takeshi Yoshimura
2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11 3403 - + 2007年
-
On the Number of 3-D IC Floorplan Configurations and a Solution Perturbation Method with Good Convergence
Song Chen, Takeshi Yoshimura
Proceedings of IEEE Asia Pacific Conference on Circuits and Systems at Singapore (Best Paper Award) 1867 - 1870 2006年12月
-
Realizability of Score Sequence Pair of an (r11, r12, r22)-Tournament
Masaya Takahashi, Takahiro Watanabe, Takeshi Yoshimura
Proceedings of IEEE Asia Pacific Conference on Circuits and Systems at Singapore 1021 - 1024 2006年12月
-
Hierarchical-analysis-based fast chip-scale power estimation method for large and complex LSIs
Yuichi Nakamura, Takeshi Yoshimura
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E89A ( 12 ) 3458 - 3463 2006年12月
-
A Consideration of the Score Sequence Problems of (r11, r12, r22)-Tournaments
Masaya Takahashi, Takahiro Watanabe, Takeshi Yoshimura
Proceeding of abstract, International Mathematical Conference ~ Topics in Mathematical Analysis and Graph Theory ~ at Belgrade 50 - 51 2006年09月
-
Domino Logic Synthesis System and Its Applications
Ko Yoshikawa, Shigeto Inui, Yuichi Nakamura, Takeshi Yoshimura
Journal of Circuits, Systems and Computers 15 ( 2 ) 277 - 287 2006年06月
-
Removal of Overlapped Freedom during Scheduling in High Level Synthesis
Liangwei Ge, Kouhei Isoda, Takeshi Yoshimura
Proceedings of the 13th Workshop on Synthesis And System Integration of MIxed Technologies 2006年04月
-
An engineering change orders design method based on patchwork-like partitioning for high performance LSIs
Y Nakamura, K Yoshikawa, T Yoshimura
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E88A ( 12 ) 3351 - 3357 2005年12月
-
Design and Implementation of an EOS Chip
liangwei ge, Takeshi Yoshimura
Proceedings of the 6-th International Conference on ASIC 2005年10月
-
A fast chip-scale power estimation method for large and complex LSIs based on hierarchical analysis
Y Nakamura, T Yoshimura
2005 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), VOLS 1-6, CONFERENCE PROCEEDINGS 628 - 631 2005年
-
Timing Optimization Methodology Based on Replacing Flip-Flops by Latches
K. Yoshikawa, K. Kanamaru, Y. Hagihara, S. Inui, Y. Nakamura, T. Yoshimura
IEICE TRANSACTIONS on Fundamentals of Electronics, Communications and Computer Sciences E87-A ( 12 ) 186 - 191 2004年12月
-
A Patchwork-like Partitioning Method for Engineering Change Orders in Redesign of High Performance LSIs
Y. Nakamura, K. Yoshikawa, T. Yoshimura
Proceedings of the 12th Workshop on Synthesis And System Integration of MIxed Technologies 351 - 356 2004年10月
-
Logic Optimization Method after Technology Mapping
K. Yoshikawa, Y. Nakamura, K Akashi, T. Yoshimura
Proceedings of the 12th Workshop on Synthesis And System Integration of MIxed Technologies 357 - 362 2004年10月
-
A fast hardware/software Co-verification method for system-on-a-chip by using a C/C++ simulator and FPGA emulator with shared register communication
Y Nakamura, K Hosokawa, Kuroda, I, K Yoshikawa, T Yoshimura
41ST DESIGN AUTOMATION CONFERENCE, PROCEEDINGS 2004 299 - 304 2004年
-
Timing optimization by replacing flip-flops to latches
K Yoshikawa, K Kanamaru, S Inui, Y Hagihara, Y Nakamura, T Yoshimura
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 186 - 191 2004年
-
A New Approach to VLSI Floorplanning based on Quadratic Programming and Rectangle Packing
Takumi Okamoto, Takeshi Yoshimura
Proceedings of the 10th Workshop on Synthesis and System Integration of Mixed Technologies ( 257 ) 263 2001年10月
-
Floorplanning using a tree representation
PN Guo, T Takahashi, CK Cheng, T Yoshimura
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS 20 ( 2 ) 281 - 289 2001年02月
-
An Enhanced Perturbing Algorithm for Floorplan Design using O-tree Representation
Yingxin Pang, Chung-Kuan Cheng, Takeshi Yoshimura
Proceedings of the 2000 international symposium on Physical design (ISPD2000) 168 - 173 2000年04月
-
Logic minimization for large-scale networks based on multi-signal implications
M Yuguchi, K Wakabayashi, T Yoshimura
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E82A ( 11 ) 2390 - 2397 1999年11月
-
An O-Tree Representation of Nonslicing Floorplan and Its Applications
Pei-Ning Guo, Chung-Kuan Cheng, Takeshi Yoshimura
Proceedings of the 36th Design Automation Conference (DAC'99) 268 - 273 1999年06月
-
A partitioning-based logic optimization method for large scale circuits with Boolean matrix
Y NAKAMURA, T YOSHIMURA
32ND DESIGN AUTOMATION CONFERENCE, PROCEEDINGS 1995 653 - 657 1995年
-
Minimum Path-Length Equi-Distant Routing
M. Edahiro, T. Yoshimura
Proceedings of the IEEE Asia-Pacific Conference on Circuits and Systems(APCCAS '92) 41 - 46 1992年12月
-
A MULTILAYER CHANNEL ROUTER WITH NEW STYLE OF OVER-THE-CELL ROUTING
T FUJII, Y MIMA, T MATSUDA, T YOSHIMURA
29TH ACM/IEEE DESIGN AUTOMATION CONFERENCE : PROCEEDINGS 585 - 588 1992年
-
ハイレベルシンセシスの動向
高橋,吉村
電子情報通信学会論文誌 A Vol.J74-A ( 2 ) 143 - 151 1991年02月
-
New Placement and Global Routing Algorithms for Standard Cell Layouts
M. Edahiro, T. Yoshimura
Proceedings of the 27th Design Automation Conference (DAC'90) 642 - 645 1990年06月
-
A RESOURCE SHARING AND CONTROL SYNTHESIS METHOD FOR CONDITIONAL BRANCHES
K WAKABAYASHI, T YOSHIMURA
1989 IEEE INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN 62 - 65 1989年
-
VLSIのレイアウトコンパクション
吉村
東レWORLD TECNO TREND 3 ( 6 ) 11 - 14 1988年12月
-
最小コストフローアルゴリズムに基づく管路網解析問題の一解法
阪内,吉村
情報処理学会論文誌 Vol.29 ( 11 ) 1079 - 1187 1988年11月
-
ネットワーク問題の最近の動向 -理論と応用
国枝,吉村, 築山, 岡田,大附
電子情報通信学会誌 922 - 933 1988年
-
最新のLSI-CAD技術
吉村
PIXEL(図形処理センター) 77 - 81 1988年
-
ネットワーク問題におけるシンプレックス法
吉村
電子通信学会論文誌A J70-A ( 2 ) 156 - 163 1987年02月
-
A New Module Generator with Structural Routers and Graphical Interface
M.Ishikawa, T.Yoshimura
Proceedings of the 1987 IEEE International Conference on Computer-Aided Design (ICCAD-1987) 436 - 439 1987年
-
A Rule-Based and Algorithmic Approach for Logic Synthesis
T.Yoshimura, S.Goto
International Workshop on Logic Synthesis 1987年
-
Compaction-Based Custom LSI Layout Design Method
Masaki Ishikawa, Tsuneo Matsuda, Takeshi Yoshimura, Satoshi Goto
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 6 ( 3 ) 374 - 382 1987年
-
A Rule-Base and Algorithmic Approach for Logic Synthesis
T.Yoshimura, S.Goto
Proceedings of the 1986 IEEE International Conference on Computer-Aided Design (ICCAD-1986) 162 - 165 1986年11月
-
A VLSI Architecture Evaluation System
R.Takahashi, T.Yoshimura, S.goto
Proceedings of the IEEE International Conference on Computer Design (ICCD'86) 60 - 63 1986年
-
A Graph Theoretical Compaction Algorithm
T.Yoshimura
Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS1985) 1455 - 1458 1985年
-
An Automatic Compaction Method for Building Block LSIs
M. Ishikawa, T. Matsuda, T.Yoshimura, S. Goto
Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS1985) 1985年
-
An Efficient Channel Router
T.Yoshimura
Proceedings of the 21st Design Automation Conference (DAC'84) 38 - 44 1984年
-
区分線形近似による管網解析手法
吉村
電子通信学会論文誌A J66-A ( 4 ) 297 - 304 1983年04月
-
水運用計画における予測問題とタンクモデル
小橋,吉村
情報処理学会論文誌 Vol.24 ( 4 ) 406 - 413 1983年04月
-
Efficient Algorithms for Channel Routing
Takeshi Yoshimura, Ernest S. Kuh
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 1 ( 1 ) 25 - 35 1982年
-
Some Algorithms for Channel Routing
T.Yoshimura, E.S.Kuh
Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS1980) 955 - 955 1980年05月
-
Optimizing Water Distribution in Pipe Networks Using Maximal Flow Algorithms
T.Yoshimura, T.Ohtsuki, Y.Fujisawa
Proc. of TIMS XXIV International Meeting 1979年08月
-
An Algorithm for Designing Multidrop Teleprocessing Networks
T.Yoshimura
Proceedings of the 4th IEEE International Conference on Computer Communication (ICCC-78) 487 - 492 1978年
-
A Communication Network Design Tool - NETS
Y.Teshigawara, I.Wakayama, K.Wakabayashi, T.Yoshimura
Proc. COMPCON-78 158 - 165 1978年
-
Sparse Matrix Techniques for the Shortest Path Problem
S.Goto, T.Ohtuski, T.Yoshimura
IEEE Transactions on Circuits and Systems CAS-23 ( 12 ) 752 - 758 1976年
-
A Shortest Path Calculation Program based on Code Generation Technique
S.Goto, T.Ohtsuki, T.Yoshimura
Proceedings of the 13th Allerton Conference 431 - 438 1975年
書籍等出版物
-
最新VLSIの開発設計とCAD
大附,後藤, 國尾, 福間, 石川, 吉村他
ミマツデータシステム 1994年04月
共同研究・競争的資金等の研究課題
-
組み合わせ論的および数理計画論的高位レベル合成手法の研究
日本学術振興会 科学研究費助成事業
研究期間:
2014年04月-2017年03月吉村 猛
-
大規模システムLSIフロアプランベース設計基盤技術の研究
日本学術振興会 科学研究費助成事業
研究期間:
2011年04月-2014年03月吉村 猛
-
大規模システムLSI物理設計基盤技術の研究
日本学術振興会 科学研究費助成事業
研究期間:
2005年-2008年吉村 猛
-
システムLSIプロトタイピングベース設計システムの研究
研究期間:
2002年-2006年 -
LSIレイアウト設計アルゴリズムの研究
研究期間:
2003年-
特定課題制度(学内資金)
-
2005年